Seong-Jin Kim

发表

Seong-Jin Kim, Sang-Wook Han, Byongmin Kang, 2010, IEEE Electron Device Letters.

E. Yoon, Jihyun Cho, Seong-Jin Kim, 2013, 2013 Symposium on VLSI Circuits.

Kwang-Seok Yun, Euisik Yoon, Sun-Il Chang, 2005, 18th IEEE International Conference on Micro Electro Mechanical Systems, 2005. MEMS 2005..

Chang-Yeong Kim, Seong-Jin Kim, James D. K. Kim, 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

Jaehyuk Choi, Seokjun Park, Jihyun Cho, 2014, IEEE Journal of Solid-State Circuits.

Seong-Jin Kim, James D. K. Kim, Byongmin Kang, 2012, IEEE Journal of Solid-State Circuits.

Chang-Yeong Kim, Seong-Jin Kim, James D. K. Kim, 2012, 2012 IEEE International Solid-State Circuits Conference.