M. Imani

发表

Abbas Rahimi, M. Imani, Daniel Peroni, 2017 .

Abbas Rahimi, M. Imani, Daniel Peroni, 2017 .

T. Simunic, M. Imani, Justin Morris, 2020, 2020 IFIP/IEEE 28th International Conference on Very Large Scale Integration (VLSI-SOC).

Tajana Simunic, Mohsen Imani, Sahand Salamat, 2019, FPGA.

Yeseong Kim, M. Imani, H. Alimohamadi, 2022, Scientific reports.

Behnam Khaleghi, M. Imani, Tajana Rosing, 2022, ACM Transactions on Embedded Computing Systems.

Yeseong Kim, N. Srinivasa, M. Imani, 2022, Frontiers in Neuroscience.

S. F. Ghoreishi, M. Imani, Mahdi Imani, 2022, IEEE Intelligent Systems.

S. F. Ghoreishi, M. Imani, Mahdi Imani, 2022, 2022 American Control Conference (ACC).

Weikang Qian, M. Imani, Chuangtao Chen, 2022, IEEE Transactions on Computers.

S. Patil, M. Imani, Tajana Rosing, 2016 .

Si Thu Kaung Set, T. Simunic, M. Imani, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Farinaz Koushanfar, Tajana Simunic, Mohsen Imani, 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Mohsen Imani, Farinaz Koushanfar, Tajana Simunic, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

K. Ni, T. Ali, T. Kämpfe, 2020, 2020 IEEE International Electron Devices Meeting (IEDM).

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Tajana Simunic, Niema Moshiri, Yeseong Kim, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yeseong Kim, M. Imani, H. Alimohamadi, 2022, Scientific Reports.

T. Rosing, Yeseong Kim, M. Imani, 2022, ACM J. Emerg. Technol. Comput. Syst..

Yeseong Kim, Farhad Imani, M. Imani, 2021, SC21: International Conference for High Performance Computing, Networking, Storage and Analysis.

Mohsen Imani, Mohammad Saidur Rahman, Matthew Wright, 2019, IEEE Transactions on Information Forensics and Security.

Mike Perry, Claudia Díaz, Mohsen Imani, 2015, ESORICS.

Tajana Rosing, Mohsen Imani, Daniel Peroni, 2019, IEEE Transactions on Emerging Topics in Computing.

Fateme S. Hosseini, Kamalika Chaudhuri, F. Koushanfar, 2020, ArXiv.

A. Yadollahi, M. Khanzadeh, Farhad Imani, 2023, CIRP Journal of Manufacturing Science and Technology.

Tajana Simunic, Mohsen Imani, T. Simunic, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Mohsen Imani, Xunzhao Yin, Elaheh Sadredini, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Mohsen Imani, Cheng Zhuo, Xunzhao Yin, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Michael Niemier, Mohsen Imani, Tajana Rosing, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Tajana Simunic, Mohsen Imani, Baris Aksanli, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Mohsen Imani, Tajana Rosing, Jan M. Rabaey, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tajana Simunic, Mohsen Imani, Xinyu Wu, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mohsen Imani, Deqian Kong, Tajana Simunic, 2017, 2017 IEEE International Conference on Rebooting Computing (ICRC).

Yeseong Kim, M. Imani, Yang Ni, 2023, ACM Great Lakes Symposium on VLSI.

H. Amrouch, M. Imani, P. Genssler, 2023, 2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC).

N. Dutt, A. Rahmani, M. Imani, 2022, 2022 IEEE 40th International Conference on Computer Design (ICCD).

K. Parhi, T. Givargis, Farhad Imani, 2022, Frontiers in Neuroscience.

Mohsen Imani, Rosario Cammarota, Alejandro Hernández-Cano, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Houman Homayoun, Mohsen Imani, M. Hassan Najafi, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Mohsen Imani, Alejandro Hernández-Cane, Namiko Matsumoto, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2018, IOT.

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2019, 2019 IEEE 12th International Conference on Cloud Computing (CLOUD).

Tajana Simunic, Mohsen Imani, Helen Shu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Fan Wu, Tajana Simunic, Mohsen Imani, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tajana Rosing, Deqian Kong, Mohsen Imani, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Mohsen Imani, Tajana Simunic, Saransh Gupta, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Tajana Simunic, Mohsen Imani, Minxuan Zhou, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

M. Imani, Xun Jiao, Sizhe Zhang, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

H. Amrouch, M. Imani, P. Genssler, 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

T. Simunic, Yeseong Kim, M. Imani, 2022, Design, Automation and Test in Europe.

Jan M. Rabaey, Deqian Kong, Tajana Simunic, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Mohsen Imani, Tajana Simunic, Fan Wu, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Y. Aloimonos, Cornelia Fermuller, H. Amrouch, 2022, 2022 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Mohsen Imani, Tajana Rosing, Sahand Salamat, 2020, IEEE Transactions on Computers.

Tajana Simunic, Mohsen Imani, Samuel Bosch, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Yeseong Kim, Jiseung Kim, M. Imani, 2023, 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).

N. Lesica, M. Imani, Yang Ni, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ann Franchesca Laguna, X. Hu, K. Ni, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Mohsen Imani, Cheng Zhuo, Xunzhao Yin, 2021, ACM Great Lakes Symposium on VLSI.

Mohsen Imani, Tajana Simunic, Anthony Thomas, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Mohsen Imani, M. Hassan Najafi, Sina Asadi, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tajana Simunic, Mohsen Imani, Baris Aksanli, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Tajana Rosing, Mohsen Imani, Daniel Peroni, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tajana Simunic, Mohsen Imani, Minxuan Zhou, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Emad Kasaeyan Naeini, M. Imani, Sina Shahhosseini, 2022, ACM Great Lakes Symposium on VLSI.

Tajana Simunic, Mohsen Imani, Shruti Patil, 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

Grace Li Zhang, K. Ni, Bing Li, 2023, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Michael T. Niemier, Xiaobo Sharon Hu, Mohsen Imani, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2019, IEEE Micro.

Mohsen Imani, Mohsen Jafari, Ghasem Pasandi, 2015 .

Mohsen Imani, Tajana Simunic, Yeseong Kim, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nikil D. Dutt, Mohsen Imani, Tajana Simunic, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2019, ACM Great Lakes Symposium on VLSI.

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2019, ASP-DAC.

Farinaz Koushanfar, Tajana Simunic, Mohsen Imani, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Tajana Rosing, Mohsen Imani, Harveen Kaur, 2019, IEEE Transactions on Computers.

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tajana Simunic, Mohsen Imani, Saransh Gupta, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yeseong Kim, M. Imani, Tajana Rosing, 2019, Proceedings of the 46th International Symposium on Computer Architecture.

Farinaz Koushanfar, Tajana Simunic, Yeseong Kim, 2018, ArXiv.

Mohsen Imani, Shruti Patil, Tajana ŠimuniĆ Rosing, 2018, IEEE Transactions on Emerging Topics in Computing.

Tajana Simunic, Mohsen Imani, Shruti Patil, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tajana Simunic, Mohsen Imani, Abbas Rahimi, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Michael Niemier, Xiaobo Sharon Hu, Mohsen Imani, 2021, IEEE Design & Test.

M. Imani, Tajana Rosing, Saransh Gupta, 2018, Proceedings of the International Conference on Computer-Aided Design.

Michael Niemier, Mohsen Imani, Arman Kazemi, 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Mohsen Imani, Yeseong Kim, Alejandro Hernández-Cano, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mohsen Imani, M. Hassan Najafi, Yeseong Kim, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Giovanni De Micheli, Mohsen Imani, Tajana Simunic, 2019, 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Tajana Simunic, Mohsen Imani, Abbas Rahimi, 2018, 2018 IEEE EMBS International Conference on Biomedical & Health Informatics (BHI).

Jan M. Rabaey, Mohsen Imani, Abbas Rahimi, 2017, IEEE Design & Test.

Kevin Skadron, Mohsen Imani, Elaheh Sadredini, 2021, MICRO.

Mike Perry, Claudia Díaz, Mohsen Imani, 2015, ArXiv.

M. Imani, Xun Jiao, Sizhe Zhang, 2022, Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design.

Tajana Simunic, Mohsen Imani, Saransh Gupta, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mohsen Imani, Weikang Qian, Cheng Zhuo, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Mohsen Imani, Tajana Simunic Rosing, Sahil Sharma, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tajana Simunic, Mohsen Imani, Saransh Gupta, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Elaheh Sadredini, M. Imani, M. Najafi, 2022, 2022 IEEE 40th International Conference on Computer Design (ICCD).

Mohsen Imani, Jiang Ming, Matthew Wright, 2018, USENIX Security Symposium.

H. Amrouch, M. Imani, P. Genssler, 2023, 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Elaheh Sadredini, M. Imani, Jingyao Zhang, 2023, 2023 60th ACM/IEEE Design Automation Conference (DAC).

Mohsen Imani, Tajana Simunic, Saransh Gupta, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Tajana Simunic, Mohsen Imani, Saransh Gupta, 2018, 2018 IEEE International Conference on Rebooting Computing (ICRC).

Deming Zhang, M. Imani, Shaodi Wang, 2023, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Grace Li Zhang, Mohsen Imani, Cheng Zhuo, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tajana Simunic, Yeseong Kim, Mohsen Imani, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Mohsen Imani, Matthew Wright, Mehrdad Amirabadi, 2016, IET Commun..

Mohsen Imani, Tajana Simunic, Behnam Khaleghi, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

M. Imani, Tajana Rosing, Saransh Gupta, 2018, Proceedings of the International Symposium on Low Power Electronics and Design.

Mohsen Imani, Tajana Simunic, Sahand Salamat, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).