Yiran Chen

发表

Haoxing Ren, Yiran Chen, Zhiyao Xie, 2018, Proceedings of the International Conference on Computer-Aided Design.

Yiran Chen, Yiran Chen, 2020 .

B. Wen, Y. Cheung, Meng Pang, 2021, IEEE Transactions on Neural Networks and Learning Systems.

Yanzhi Wang, Deliang Fan, Shigeru Yamashita, 2020, CCF Trans. High Perform. Comput..

Yiran Chen, Qilin Zheng, Bing Li, 2020, Summer Wildflowers of the Northeast.

Yiran Chen, Runze Liu, Jianlei Yang, 2019, Proceedings of the 56th Annual Design Automation Conference 2019.

Yiran Chen, Jiang Hu, Erick Carvajal Barboza, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jingwei Sun, Ang Li, Binghui Wang, 2020, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Yiran Chen, Chunpeng Wu, Hai Li, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Guohe Zhang, Li Sun, 2020, Neurocomputing.

Yiran Chen, Weisheng Zhao, Runze Liu, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

H. Li, Sicheng Li, Binghui Wang, 2021, IFIP International Information Security Conference.

Jianlei Yang, Yiran Chen, Junyu Luo, 2019, ECCV.

H. Li, Yiran Chen, Mingyuan Ma, 2023, IEEE Workshop/Winter Conference on Applications of Computer Vision.

Yiran Chen, Jiang Hu, Gi-Joon Nam, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yiran Chen, Jiang Hu, Xiaoqing Xu, 2020, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Jiang Hu, Brucek Khailany, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Yu-Hung Huang, Guan-Qi Fang, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Naehyuck Chang, Chun Jason Xue, 2018, IEEE Design & Test.

Yiran Chen, Weisheng Zhao, Runze Liu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Yanqing Zhang, Jiang Hu, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ang Li, Huanrui Yang, Yiran Chen, 2020, Federated Learning.

Meng Pang, Binghui Wang, Bihan Wen, 2021, IEEE Transactions on Information Forensics and Security.

Meng Pang, Bihan Wen, Mang Ye, 2021, 2021 IEEE International Conference on Multimedia and Expo (ICME).

Vijaykrishnan Narayanan, Yiran Chen, Linghao Song, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Hai Li, Yiran Chen, Jiang Hu, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Junyu Luo, Yiran Chen, Xingzhou Cheng, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).