Cheng Fu

发表

Jishen Zhao, Cheng Fu, Huili Chen, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

F. Koushanfar, Jishen Zhao, Huili Chen, 2021, 2021 IEEE/CVF International Conference on Computer Vision (ICCV).

Yuandong Tian, Xinyun Chen, Jishen Zhao, 2019, NeurIPS.

Farinaz Koushanfar, Jishen Zhao, Bita Darvish Rouhani, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

F. Koushanfar, Jishen Zhao, Huili Chen, 2021, Digital Threats: Research and Practice.

F. Koushanfar, Jishen Zhao, B. Rouhani, 2024, IEEE Design & Test.

Farinaz Koushanfar, Jishen Zhao, Hao Su, 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).