Jaydeep P. Kulkarni

发表

SS Teja Nibhanupudi, Amritesh Rai, Anupam Roy, 2018, 2018 4th IEEE International Conference on Emerging Electronics (ICEE).

Jaydeep P. Kulkarni, S.S. Teja Nibhanupudi, 2019, 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

Hong Wang, Deepak Mathaikutty, Sriram R. Vangal, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Swaroop Ghosh, Jaydeep P. Kulkarni, Seyedhamidreza Motaman, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Jaydeep P. Kulkarni, Sumeet Kumar Gupta, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Thomas F. Wenisch, Jaydeep P. Kulkarni, 2018, IEEE Des. Test.

James Tschanz, Vivek De, Kaushik Mazumdar, 2016, IEEE Journal of Solid-State Circuits.

Jaydeep P. Kulkarni, 2020, IEEE Micro.

Kaushik Roy, Franco Stellari, Peilin Song, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Kaushik Roy, Jaydeep P. Kulkarni, Keejong Kim, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Rolf Drechsler, Chip-Hong Chang, Chulwoo Kim, 2019, IEEE Trans. Very Large Scale Integr. Syst..

Jaydeep P. Kulkarni, Aseem Sayal, Sidlgata V. Sreenivasan, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jaydeep P. Kulkarni, Xueqing Li, Suman Datta, 2016, IEEE Transactions on Electron Devices.

Massoud Pedram, Jaydeep P. Kulkarni, Kaushik Roy, 2015 .

Yervant Zorian, Nacer-Eddine Zergainoh, Lorena Anghel, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Patrick Ndai, Ashish Goel, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jaydeep P. Kulkarni, Sameer A. Kibey, Piyush D. Sarode, 2004, EURASIP J. Adv. Signal Process..

Mark Mohammad Tehranipoor, Meng-Fan Chang, Rajiv V. Joshi, 2017, Formal Aspects of Computing.

Kaushik Roy, Jaydeep P. Kulkarni, K. Roy, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Jaydeep P. Kulkarni, Mesut Meterelliyoz, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Vivek De, Jaydeep P. Kulkarni, Tanay Karnik, 2010, IEEE Custom Integrated Circuits Conference 2010.

Vivek De, Jaydeep P. Kulkarni, James W. Tschanz, 2017 .

Jaydeep P. Kulkarni, Kaushik Roy, Ankit Sharma, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Jaydeep P. Kulkarni, Sang Phill Park, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Jaydeep P. Kulkarni, Charles Augustine, Arijit Raychowdhury, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

SS Teja Nibhanupudi, Jaydeep P. Kulkarni, Sanjay K. Banerjee, 2019, 2019 Device Research Conference (DRC).

James Tschanz, Vivek De, Jaydeep P. Kulkarni, 2012, 2012 IEEE International Solid-State Circuits Conference.

Jaydeep P. Kulkarni, Vinay Saripalli, Suman Datta, 2014, IEEE Electron Device Letters.

Swaroop Ghosh, Jaydeep P. Kulkarni, Seyedhamidreza Motaman, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kaushik Roy, Ashish Goel, Jaydeep P. Kulkarni, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

James Tschanz, Vivek De, Jaydeep P. Kulkarni, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Jaydeep P. Kulkarni, Aseem Sayal, Shirin Fathima, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Paolo A. Aseron, James Tschanz, Vivek De, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Keith A. Bowman, James Tschanz, Vivek De, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Jaydeep P. Kulkarni, Samira Manabi Khan, Alaa R. Alameldeen, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Jaydeep P. Kulkarni, Aseem Sayal, Shirin Fathima, 2020, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Jaydeep P. Kulkarni, Mesut Meterelliyoz, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Keith A. Bowman, James Tschanz, Vivek De, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Swaroop Ghosh, Jaydeep P. Kulkarni, Seyedhamidreza Motaman, 2018, ACM J. Emerg. Technol. Comput. Syst..

James Tschanz, Vivek De, Kaushik Mazumdar, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Thomas F. Wenisch, Jaydeep P. Kulkarni, 2017, ISLPED.

Kaushik Roy, Franco Stellari, Peilin Song, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Narayanan Vijaykrishnan, Jaydeep P. Kulkarni, Vinay Saripalli, 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.

James Tschanz, Vivek De, Jaydeep P. Kulkarni, 2017, IEEE Journal of Solid-State Circuits.

Jaydeep P. Kulkarni, Kaushik Roy, Sumeet Kumar Gupta, 2013, IEEE Transactions on Electron Devices.

Kaushik Roy, Jaydeep P. Kulkarni, K. Roy, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Kaushik Roy, Jaydeep P. Kulkarni, 2006 .

Kaushik Roy, Jaydeep P. Kulkarni, Keejong Kim, 2007 .

Kaushik Roy, Patrick Ndai, Ashish Goel, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Jaydeep P. Kulkarni, Lizy John, Rahul Mathur, 2021, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

Nan Sun, David Z. Pan, Jaydeep P. Kulkarni, 2021, 2021 IEEE Custom Integrated Circuits Conference (CICC).

Jaydeep P. Kulkarni, Pulkit Jain, Fatih Hamzaoglu, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Jaydeep P. Kulkarni, Jacob N. Rohan, 2021, 2021 IEEE Asian Solid-State Circuits Conference (A-SSCC).

SS Teja Nibhanupudi, Jaydeep P. Kulkarni, Aseem Sayal, 2020, IEEE Journal of Solid-State Circuits.

Jaydeep P. Kulkarni, Vishnuvardhan V. Iyer, Meizhi Wang, 2021, 2021 IEEE International Conference on Intelligence and Security Informatics (ISI).

Jaydeep P. Kulkarni, Michael Orshansky, Aseem Sayal, 2021, 2021 IEEE Custom Integrated Circuits Conference (CICC).

Jaydeep P. Kulkarni, Ananth Dodabalapur, Kelly Liang, 2021, 2021 Device Research Conference (DRC).

Sanu Mathew, Jaydeep P. Kulkarni, Michael Orshansky, 2021, 2021 IEEE Custom Integrated Circuits Conference (CICC).

Jaydeep P. Kulkarni, Rishabh Sehgal, J. Kulkarni, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Jaydeep P. Kulkarni, Shanshan Xie, Siddhartha Raman Sundara Raman, 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).