Fengbin Tu

发表

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Circuits and Systems for Video Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Yang Wang, Shouyi Yin, Feng Xiong, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Cong Xu, Yuan Xie, Jishen Zhao, 2019, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 Symposium on VLSI Circuits.

Shouyi Yin, Weiwei Wu, Fengbin Tu, 2019, 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS).

Yang Wang, Shouyi Yin, Ning Li, 2021, IEEE Journal of Solid-State Circuits.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Ying Zhang, Yuan Xie, Leibo Liu, 2019 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 5th International Symposium on Next-Generation Electronics (ISNE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Journal of Solid-State Circuits.

Shouyi Yin, Fengbin Tu, Shaojun Wei, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Lei Deng, Xing Hu, Liu Liu, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Xiangyu Li, Leibo Liu, Shouyi Yin, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Kaushik Roy, Wenqin Huangfu, Hussam Amrouch, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yang Wang, Shouyi Yin, Fengbin Tu, 2021, IEEE Journal of Solid-State Circuits.

Lei Deng, Guoqi Li, Fengbin Tu, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shouyi Yin, Xinhan Lin, Fengbin Tu, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).