José A. Tierno

发表

Alexander V. Rylyakov, Seongwon Kim, Herschel A. Ainspan, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2013, IEEE Journal of Solid-State Circuits.

Alexander V. Rylyakov, Daniel J. Friedman, José A. Tierno, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Lawrence T. Pileggi, Xin Li, Soner Yaldiz, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Bodhisatwa Sadhu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2013, IEEE Journal of Solid-State Circuits.

Alexander V. Rylyakov, Steven M. Nowick, Montek Singh, 2002, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Alexander V. Rylyakov, Daniel J. Friedman, José A. Tierno, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Bishop Brock, Karthick Rajamani, Malcolm Allen-Ware, 2011, IBM J. Res. Dev..

José A. Tierno, Theodore Van Duzer, Z. John Deng, 1998, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems.

Alain J. Martin, Rajit Manohar, José A. Tierno, 1996, Proceedings Second International Symposium on Advanced Research in Asynchronous Circuits and Systems.

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Bishop Brock, Karthick Rajamani, Charles Lefurgy, 2011, IEEE Micro.

Yong Liu, Bernard Brezzo, Daniel J. Friedman, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Alexander V. Rylyakov, Steven M. Nowick, Montek Singh, 2010, IEEE Trans. Very Large Scale Integr. Syst..

Alain J. Martin, José A. Tierno, 1994, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems.

Jean-Olivier Plouchart, Alexander V. Rylyakov, Herschel A. Ainspan, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yong Liu, Daniel J. Friedman, Ankur Agrawal, 2012, 2012 IEEE International Solid-State Circuits Conference.

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Lawrence T. Pileggi, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jean-Olivier Plouchart, Alexander V. Rylyakov, Herschel A. Ainspan, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Alexander V. Rylyakov, Herschel A. Ainspan, Daniel J. Friedman, 2014, IEEE Journal of Solid-State Circuits.

Bishop Brock, John B. Carter, Charles Lefurgy, 2013, IEEE Micro.

Bishop Brock, John B. Carter, Charles Lefurgy, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Alain J. Martin, Drazen Borkovic, Tak-Kwan Lee, 1994, IEEE Design & Test of Computers.

Jean-Olivier Plouchart, Scott K. Reynolds, Daniel J. Friedman, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Prabhakar Kudva, José A. Tierno, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Rajit Manohar, José A. Tierno, R. Manohar, 1998, IEEE Trans. Computers.

Yong Liu, Daniel J. Friedman, Ankur Agrawal, 2012, IEEE Journal of Solid-State Circuits.

Yong Liu, Seongwon Kim, Daniel J. Friedman, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Alexander V. Rylyakov, Herschel A. Ainspan, Daniel J. Friedman, 2015, IEEE Journal of Solid-State Circuits.