Steven Swanson

发表

Jian Yang, Steven Swanson, Yiying Zhang, 2015, ASPLOS.

Robert Grimm, Brian N. Bershad, Janet Davis, 2001 .

Steven Swanson, John D. Davis, Laura Caulfield, 2013, 2013 IEEE Hot Chips 25 Symposium (HCS).

Yannis Papakonstantinou, Steven Swanson, Jianguo Wang, 2017, Proc. VLDB Endow..

Frederic Sala, Lara Dolecek, Sorin Lerner, 2015, it Inf. Technol..

Jian Xu, Steven Swanson, Amirsaman Memaripour, 2019, ASPLOS.

Steven Swanson, Ningfang Mi, Zhengyu Yang, 2017, 2017 IEEE 36th International Performance Computing and Communications Conference (IPCCC).

Steven Swanson, Jishen Zhao, Joseph Izraelevitz, 2019, PODC.

Dean M. Tullsen, Steven Swanson, Md Kamruzzaman, 2011, ASPLOS XVI.

Steven Swanson, Michael Bedford Taylor, 2013, IEEE Micro.

Dean M. Tullsen, Steven Swanson, Md Kamruzzaman, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Steven Swanson, Mark Oskin, Susan J. Eggers, 2006, ASPLOS XII.

Steven Swanson, Robert Mateescu, Zvonimir Bandic, 2014, FAST.

Steven Swanson, Jian Yang, Joseph Izraelevitz, 2020, NSDI.

Jian Yang, Steven Swanson, Jishen Zhao, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Steven Swanson, Jose Lugo-Martinez, Jack Sampson, 2010, ASPLOS 2010.

Steven Swanson, John D. Davis, Laura M. Grupp, 2012, FAST.

Vikram Bhatt, Steven Swanson, Jack Sampson, 2012, 17th Asia and South Pacific Design Automation Conference.

Yang Liu, Steven Swanson, Jing Li, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Steven Swanson, Mark Oskin, Susan J. Eggers, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Yang Liu, Steven Swanson, Arup De, 2014, OSDI.

Steven Swanson, Jack Sampson, Scott Ricketts, 2014, ACM Trans. Embed. Comput. Syst..

Steven Swanson, Hung-Wei Tseng, Yuxiao Zhou, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Rajesh K. Gupta, Steven Swanson, Ranjit Jhala, 2011, ASPLOS XVI.

Steven Swanson, Mark Oskin, Susan J. Eggers, 2006, ISCA 2006.

Dean M. Tullsen, Steven Swanson, Md Kamruzzaman, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Devon J. Merrill, Steven Swanson, Jorge Garza, 2020, UIST.

Steven Swanson, Jing Li, Kiran Kumar Matam, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yannis Papakonstantinou, Steven Swanson, Jianguo Wang, 2017, SIGMOD Conference.

Philippe Bonnet, Steven Swanson, Matias Bjørling, 2014, USENIX Annual Technical Conference.

Yang Liu, Steven Swanson, Hung-Wei Tseng, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Vikram Bhatt, Manish Arora, Steven Swanson, 2011, 2011 21st International Conference on Field Programmable Logic and Applications.

Ittai Abraham, Steven Swanson, Dahlia Malkhi, 2016, HotStorage.

Hovav Shacham, Steven Swanson, Keaton Mowery, 2013, 2013 IEEE Symposium on Security and Privacy.

Manish Arora, Steven Swanson, Jack Sampson, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Steven Swanson, Mark Oskin, Susan J. Eggers, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Steven Swanson, Amirsaman Memaripour, Joseph Izraelevitz, 2020, ASPLOS.

Yannis Papakonstantinou, Steven Swanson, Jing Li, 2016, Proc. VLDB Endow..

Steven Swanson, John D. Davis, Laura M. Grupp, 2013, USENIX Annual Technical Conference.

Steven Swanson, Michael Bedford Taylor, M. Taylor, 2011, IEEE Communications Magazine.

Yannis Papakonstantinou, Steven Swanson, Hung-Wei Tseng, 2017, MEMSYS.

Xiao Liu, Steven Swanson, Amirsaman Memaripour, 2019, ArXiv.

Arun Jagatheesan, Rajesh K. Gupta, Steven Swanson, 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.

Steven Swanson, Perry Fizzano, 2000, Comput. Optim. Appl..

Steven Swanson, Yiying Zhang, S. Swanson, 2015, 2015 31st Symposium on Mass Storage Systems and Technologies (MSST).

Steven Swanson, Jack Sampson, Saturnino Garcia, 2010, 2010 IEEE Hot Chips 22 Symposium (HCS).

Yannis Papakonstantinou, Steven Swanson, Dong-Chul Park, 2016 .

Steven Swanson, Adrian M. Caulfield, Laura M. Grupp, 2009, ASPLOS.

Steven Swanson, Shengan Zheng, Morteza Hoseinzadeh, 2019, FAST.

Michael M. Swift, Steven Swanson, Susan J. Eggers, 2003, TOCS.

Steven Swanson, Jishen Zhao, Joseph Izraelevitz, 2020, APSys.

Steven Swanson, Jose Lugo-Martinez, Jack Sampson, 2010, ASPLOS XV.

Steven Swanson, Lu Zhang, S. Swanson, 2019, USENIX Annual Technical Conference.

Yannis Papakonstantinou, Steven Swanson, Jianguo Wang, 2016, DaMoN '16.

Steven Swanson, Jichuan Chang, Rajeev Balasubramonian, 2014, IEEE Micro.

Steven Swanson, Perry Fizzano, P. Fizzano, 1999, PDPTA.

Paul H. Siegel, Eitan Yaakobi, Jing Ma, 2010 .

Paul H. Siegel, Eitan Yaakobi, Jack K. Wolf, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Steven Swanson, Adrian M. Caulfield, Joel Coburn, 2012, ASPLOS XVII.

Robert Grimm, Brian N. Bershad, Janet Davis, 2004, TOCS.

Steven Swanson, Hung-Wei Tseng, Laura M. Grupp, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Steven Swanson, Hung-Wei Tseng, Laura M. Grupp, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Robert Grimm, Brian N. Bershad, Janet Davis, 2001, Proceedings Eighth Workshop on Hot Topics in Operating Systems.

Steven Swanson, Trevor Bunker, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Robert Grimm, Brian N. Bershad, Janet Davis, 2001 .

Steven Swanson, Mark Oskin, Susan J. Eggers, 2007, TOCS.

Rajesh Gupta, Maya Gokhale, Steven Swanson, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Steven Swanson, Adrian M. Caulfield, Laura M. Grupp, 2010, IEEE Micro.

G. Edward Suh, Steven Swanson, Wing-Kei S. Yu, 2011, TRUST.

Vikram Bhatt, Manish Arora, Steven Swanson, 2011, IEEE Micro.

Lara Dolecek, Puneet Gupta, Nikil D. Dutt, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hong Wang, Ian Young, Steven Swanson, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Steven Swanson, Mark Oskin, Susan J. Eggers, 2006, SPAA '06.

Steven Swanson, Donald J. Patterson, Gerome Miklau, 2000 .

Steven Swanson, Hamid R. Berenji, Ping-Wei Chang, 2001, IEEE Trans. Control. Syst. Technol..

Steven Swanson, Adrian M. Caulfield, Joel Coburn, 2010, 2010 IEEE Globecom Workshops.

Steven Swanson, D. G. Andersen, D. Andersen, 2010, IEEE Micro.

Steven Swanson, Joseph Izraelevitz, Juno Kim, 2019, FAST.

Rajesh K. Gupta, Steven Swanson, Adrian M. Caulfield, 2011, HotStorage.

Devon J. Merrill, Steven Swanson, Jorge Garza, 2019, ICWE.

Steven Swanson, Adrian M. Caulfield, S. Swanson, 2013, Computer.

Rajesh Gupta, Steven Swanson, Joel Coburn, 2013, SOSP.

Steven Swanson, Laura M. Grupp, John D. Davis, 2013 .

Steven Swanson, Jack Sampson, Ganesh Venkatesh, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Steven Swanson, Michael Yung Chung Wei, Laura M. Grupp, 2011, FAST.

Ranveer Chandra, Steven Swanson, Qi Zhang, 2014, FAST.

Paul H. Siegel, Eitan Yaakobi, Jack K. Wolf, 2010, 2010 IEEE Globecom Workshops.

Devon J. Merrill, Steven Swanson, Jorge Garza, 2019 .

Paul H. Siegel, Eitan Yaakobi, Jack K. Wolf, 2012, 2012 International Conference on Computing, Networking and Communications (ICNC).

Yannis Papakonstantinou, Steven Swanson, Hung-Wei Tseng, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Steven Swanson, Jack Sampson, Saturnino Garcia, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Lara Dolecek, Eitan Yaakobi, Steven Swanson, 2012, 2012 IEEE International Symposium on Information Theory Proceedings.

Dean M. Tullsen, Steven Swanson, Md Kamruzzaman, 2010, PLDI '10.

Jian Xu, Steven Swanson, Meenakshi Sundaram Bhaskaran, 2013, INFLOW '13.

Steven Swanson, Amirsaman Memaripour, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Steven Swanson, Adrian M. Caulfield, S. Swanson, 2013, ISCA.

Ittai Abraham, Steven Swanson, Michael J. Freedman, 2017, NSDI.

Steven Swanson, Hung-Wei Tseng, Yuxiao Zhou, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Dean M. Tullsen, Steven Swanson, Md Kamruzzaman, 2012, IEEE Micro.

Jian Xu, Lu Zhang, Steven Swanson, 2017, SOSP.

Steven Swanson, Zixuan Wang, Theodore Michailidis, 2021, IEEE Micro.

Steven Swanson, Morteza Hoseinzadeh, S. Swanson, 2021, ASPLOS.

Yannis Papakonstantinou, Steven Swanson, Chunbin Lin, 2021, 2021 IEEE 37th International Conference on Data Engineering (ICDE).

Devon J. Merrill, Steven Swanson, Jorge Garza, 2021, CHI.

Steven Swanson, Joseph Izraelevitz, Yi Xu, 2021, ASPLOS.

Steven Swanson, Juno Kim, Zixuan Wang, 2021, USENIX Annual Technical Conference.

Steven Swanson, Mark Oskin, Andrew Schwerin, 2004 .

Steven Swanson, Yang Liu, Jianguo Wang, 2018 .

Paul H. Siegel, Eitan Yaakobi, Jack K. Wolf, 2009 .