Nikil Dutt

发表

Alexandru Nicolau, Asheesh Khare, Preeti Ranjan Panda, 1998 .

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil Dutt, Gu Min Jeong, Chang Woo Park, 2016, Int. J. Comput. Commun. Control.

Ahmed M. Eltawil, Fadi J. Kurdahi, Nikil Dutt, 2016 .

Nikil Dutt, Tedd Hadley, Joong Hwee Cho, 1990 .

Kiyoung Choi, Nikil Dutt, Jongeun Lee, 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

Daniel D. Gajski, Nikil Dutt, Allen C-H Wu, 1992 .

Tetsuo Hironaka, Nikil Dutt, Ashok Halambi, 1996 .

Nikil Dutt, Pradip K. Jha, 1993 .

Nikil Dutt, Gillian R. Hayes, Lucretia Williams, 2020, CHI Extended Abstracts.

Nikil Dutt, Hamid Nejatollahi, Rosario Cammarota, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1998 .

Nikil Dutt, Pål Halvorsen, 2015, MoVid@MMSys.

Rainer Leupers, Nikil Dutt, Prabhat Mishra, 2016, EDA for IC System Design, Verification, and Testing.

Aviral Shrivastava, Yunheung Paek, Nikil Dutt, 2006 .

Wei Zhang, Nikil Dutt, Weichen Liu, 2018, IEEE Transactions on Computers.

Bryan Donyanavard, Fadi J. Kurdahi, Nikil Dutt, 2020 .

Jürgen Teich, Nikil Dutt, Acm Sigbed, 2007 .

Nikil Dutt, Sridevan Parameswaran, 2001, ICCAD 2001.

Nagarajan Kandasamy, Nikil Dutt, Shihao Song, 2019, IEEE Computer Architecture Letters.

Aviral Shrivastava, Nikil Dutt, N. Dutt, 2006 .

Axel Jantsch, Bryan Donyanavard, Nikil Dutt, 2018, IEEE Transactions on Multi-Scale Computing Systems.

Nikil Dutt, Antun Domic, Hiroto Yasuura, 1994, DAC '94.

Nikil Dutt, Alfonso Avila-Ortega, Juan A. Gonzalez-Lugo, 2013, 2013 International Conference on Mechatronics, Electronics and Automotive Engineering.

Marco Levorato, Nikil Dutt, Tao-Yi Lee, 2019, 2019 IEEE Global Communications Conference (GLOBECOM).

Fadi J. Kurdahi, Nikil Dutt, Champaka Ramachandran, 1993 .

Fadi J. Kurdahi, Nikil Dutt, Rolf Ernst, 2018, Proceedings of the IEEE.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Hiroyuki Tomiyama, Nikil Dutt, Ashok Halambi, 1999 .

Daniel D. Gajski, Nikil Dutt, 1992 .

Nikil Dutt, Amir M. Rahmani, Sina Shahhosseini, 2019, 2019 IEEE/ACM International Conference on Connected Health: Applications, Systems and Engineering Technologies (CHASE).

Nikil Dutt, Sina Labbaf, Hee Jeong Han, 2020, Journal of medical engineering & technology.

Nikil Dutt, 1991, [1991] Proceedings. Fourth CSI/IEEE International Symposium on VLSI Design.

Hiroyuki Tomiyama, Alexandru Nicolau, Nikil Dutt, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Nikil Dutt, Jeffrey L. Krichmar, Jayram Moorkanikara Nageswaran, 2014, Front. Neurosci..

Rajesh Gupta, Alexander V. Veidenbaum, Nikil Dutt, 2003 .

Preeti Ranjan Panda, Nikil Dutt, 1998 .

Pasi Liljeberg, Nikil Dutt, Amir M. Rahmani, 2019, IEEE Access.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Ken Kennedy, Alexandru Nicolau, Nikil Dutt, 1996, TODE.

Nikil Dutt, Antonio Miele, Amir Mahdi Hosseini Monazzah, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Alexandru Nicolau, Nikil Dutt, Haigeng Wang, 1999 .

Nikil Dutt, Michael Beyeler, Kristofor D. Carlson, 2019, PLoS Comput. Biol..

Alexandru Nicolau, Nikil Dutt, Andrea Capitanio, 1992 .

Nikil Dutt, Ardalan Amiri Sani, Chenying Hsieh, 2019, 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC).

Bryan Donyanavard, Nikil Dutt, Tiago Mück, 2017, 2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Axel Jantsch, Nikil Dutt, 2018, IEEE Design & Test.

Nikil Dutt, Majid Shoushtari, 2017, IEEE Embedded Systems Letters.

Aviral Shrivastava, Alexandru Nicolau, Nikil Dutt, 2003 .

Pasi Liljeberg, Nikil Dutt, Amir M. Rahmani, 2019, ANT/EDI40.

Axel Jantsch, Nikil Dutt, Henry Hoffmann, 2020, Proceedings of the IEEE.

Nikil Dutt, Prabhat Mishra, N. Dutt, 2008 .

Hiroyuki Tomiyama, Nikil Dutt, Ashok Halambi, 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

Daniel D. Gajski, Nikil Dutt, Allen C-H Wu, 1992 .

Rajesh Gupta, Nikil Dutt, Sumit Gupta, 2003, IEE Proceedings - Computers and Digital Techniques.

Nikil Dutt, Jeffrey L. Krichmar, Kristofor D. Carlson, 2017, bioRxiv.

Nikil Dutt, Sudeep Pasricha, 2008 .

Nikil Dutt, Fernando Moraes, Amir M. Rahmani, 2019, J. Syst. Archit..

Jan Reineke, Nikil Dutt, Peter Marwedel, 2009 .

Alexandru Nicolau, Nikil Dutt, S. Malik, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Nikil Dutt, Sung-Soo Lim, Luis Angel D. Bathen, 2011 .

Nikil Dutt, Sudeep Pasricha, Mohamed Ben-Romdhane, 2004 .

Aviral Shrivastava, Aviral Shrivastava, Alexandru Nicolau, 2001 .

Nikil Dutt, Amir M Rahmani, Milad Asgari Mehrabadi, 2020, 2021 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC).

Nikil Dutt, Ashok Halambi, Peter Grun, 1999, Proceedings 12th International Symposium on System Synthesis.

Hiroaki Takada, Hiroyuki Tomiyama, Nikil Dutt, 2004 .

Nikil Dutt, Joachim Gerlach, Margarida Jacome, 2005, DAC '05.

Bryan Donyanavard, Nikil Dutt, Andreas Herkersdorf, 2020, ArXiv.

Nikil Dutt, Amir M. Rahmani, Biswadip Maity, 2020, IEEE Embedded Systems Letters.

Nikil Dutt, Amir M. Rahmani, Hung Cao, 2020, 2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC).

Nikil Dutt, Ardalan Amiri Sani, Chen-Ying Hsieh, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Daniel D. Gajski, Nikil Dutt, Tedd Hadley, 1989 .

Kiyoung Choi, Nikil Dutt, Jongeun Lee, 2003 .

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Nikil Dutt, Sudeep Pasricha, Mohamed Ben-Romdhane, 2004 .

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Nikil Dutt, Prabhat Mishra, N. Dutt, 2008 .

Nikil Dutt, Prabhat Mishra, N. Dutt, 2004 .

Mohsen Imani, Tajana Rosing, Nikil Dutt, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nikil Dutt, Mahesh Mamidipaka, Magdy S. Abadir, 2003 .

Axel Jantsch, Hannu Tenhunen, Pasi Liljeberg, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nikil Dutt, Prabhat Mishra, 2008 .

Nikil Dutt, Peter Grun, Alex Nicolau, 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

Nalini Venkatasubramanian, Nikil Dutt, Santanu Sarma, 2015 .

Daniel D. Gajski, Viraphol Chaiyakul, Nikil Dutt, 1991 .

Nikil Dutt, Amir M. Rahmani, Kasra Moazzemi, 2019, ACM Trans. Embed. Comput. Syst..

Nikil Dutt, Tedd Hadley, Joong Hwee Cho, 1991 .

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Kyungtae Kang, Jong-Chan Kim, Nikil Dutt, 2020, 2020 IEEE Real-Time Systems Symposium (RTSS).

Nalini Venkatasubramanian, Nikil Dutt, Shivajit Mohapatra, 2003 .

Nagarajan Kandasamy, Francky Catthoor, Nikil Dutt, 2021, IEEE Embedded Systems Letters.

Fadi J. Kurdahi, Min Xu, Nikil Dutt, 1995 .

Marco Levorato, Pasi Liljeberg, Nikil Dutt, 2020, ACM Trans. Comput. Heal..

Fadi J. Kurdahi, Nikil Dutt, Magdy S. Abadir, 2008, ISQED 2008.

Nikil Dutt, Amir M. Rahmani, Majid Shoushtari, 2017, 2017 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES).

Jianwen Zhu, Nikil Dutt, N. Dutt, 2009 .

Bryan Donyanavard, Nikil Dutt, Amir M. Rahmani, 2020, 2020 International Conference on Omni-layer Intelligent Systems (COINS).

Axel Jantsch, Nikil Dutt, Amir M. Rahmani, 2017, IEEE Design & Test.

Nikil Dutt, Peter Marwedel, Grant Martin, 2010 .

Daniel D. Gajski, Nikil Dutt, Allen C-H Wu, 1992 .

Nikil Dutt, Rosario Cammarota, Hamid Nejatollahi, 2017, 2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Nikil Dutt, Peter Conradi, N. Dutt, 1995 .

Pasi Liljeberg, Nikil Dutt, Cristiana Bolchini, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Kiyoung Choi, Nikil Dutt, Yongjin Ahn, 2009, 2009 International Conference on Field-Programmable Technology.

Alexandru Nicolau, Asheesh Khare, Nikil Dutt, 1998 .

Lei Yang, Nikil Dutt, Weichen Liu, 2019, IEEE Transactions on Computers.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Sang Hoon Ji, Nikil Dutt, Gu Min Jeong, 2019, Int. J. Comput. Commun. Control.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Bryan Donyanavard, Nikil Dutt, Andreas Herkersdorf, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Daniel D. Gajski, Nikil Dutt, Allen C.-H. Wu, 1992 .

Axel Jantsch, Bryan Donyanavard, Nikil Dutt, 2020, ArXiv.

Nikil Dutt, Elaheh Bozorgzadeh, Sudeep Pasricha, 2006 .

Nagarajan Kandasamy, Francky Catthoor, Nikil Dutt, 2020, IEEE Embedded Systems Letters.

Nikil Dutt, Fernando Moraes, Rafael Garibotti, 2019, J. Syst. Archit..

Axel Jantsch, Pasi Liljeberg, Nikil Dutt, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Fadi J. Kurdahi, Nikil Dutt, Champaka Ramachandran, 1994, Proceedings of 7th International Conference on VLSI Design.

Hiroaki Takada, Hiroyuki Tomiyama, Nikil Dutt, 2010, 2010 International SoC Design Conference.

Nikil Dutt, Prabhat Mishra, N. Dutt, 2007 .

Nikil Dutt, Kazuyuki Tanimura, 2012 .

Rajesh Gupta, Nalini Venkatasubramanian, Nikil Dutt, 2004, Ultra Low-Power Electronics and Design.

Nikil Dutt, Rosario Cammarota, Hamid Nejatollahi, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Fadi J. Kurdahi, Nikil Dutt, Champaka Ramachandran, 1992 .

Nikil Dutt, Grant Martin, Deming Chen, 2008, ASP-DAC 2008.

Nikil Dutt, Francesco Regazzoni, Subhadeep Banik, 2020, ACM Trans. Embed. Comput. Syst..

Nikil Dutt, Sudeep Pasricha, Fadi Kurdahi, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Mohsen Imani, Farinaz Koushanfar, Nikil Dutt, 2020, ArXiv.

Nikil Dutt, Mahesh Mamidipaka, 2004 .

Daniel D. Gajski, Nikil Dutt, Allen C-H Wu, 1992 .

Jürgen Teich, Nikil Dutt, 2009, Des. Autom. Embed. Syst..

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil Dutt, Sudeep Pasricha, 2008 .

Axel Jantsch, Nikil Dutt, Amir M. Rahmani, 2018, IEEE Embedded Systems Letters.

Nikil Dutt, Prabhat Mishra, Peter Grun, 2000 .

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Fadi J. Kurdahi, Nikil Dutt, C. Ramachandran, 1995 .

Francky Catthoor, Nikil Dutt, Vijay Nagasamy, 1998, ICCAD 1998.

Daniel D. Gajski, Nikil Dutt, 1988 .

Aviral Shrivastava, Nikil Dutt, Ashok Halambi, 2009, 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC).

Nikil Dutt, Michael Beyeler, Jeffrey L Krichmar, 2016, The Journal of Neuroscience.

Nikil Dutt, Sudeep Pasricha, Elaheh Bozorgzadeh, 2006 .

Soha Hassoun, Nikil Dutt, Leon Stok, 2011, DAC 2011.

Nikil Dutt, Saehanseul Yi, Tae-Wook Kim, 2021, 2021 IEEE 24th International Symposium on Real-Time Distributed Computing (ISORC).

Nikil Dutt, Rosario Cammarota, Hamid Nejatollahi, 2021, J. Signal Process. Syst..

Nikil Dutt, Sung-Soo Lim, Anil Kanduri, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Pasi Liljeberg, Nikil Dutt, Iman Azimi, 2021, Inf. Syst..

Nagarajan Kandasamy, Francky Catthoor, Nikil Dutt, 2021, IEEE Transactions on Parallel and Distributed Systems.

Nikil Dutt, Abbas BanaiyanMofrad, Majid Shoushtari, 2015 .

Nikil Dutt, Sudarshan Banerjee, 2004 .

Aviral Shrivastava, Nikil Dutt, Alex Nicolau, 2005 .

Nikil Dutt, Prabhat Mishra, Frederic Rousseau, 2001 .

Nikil Dutt, Sandip Ray, Francesco Regazzoni, 2019 .

Alexandru Nicolau, Nikil Dutt, David J. Kolson, 1995 .

Gustavo Girão, Nikil Dutt, Abbas BanaiyanMofrad, 2013 .

Sri Parameswaran, Nikil Dutt, Pradip K. Jha, 1995 .

Nikil Dutt, Michael C. Avery, Jeffrey L Krichmar, 2014, The European journal of neuroscience.

Nikil Dutt, Shivajit Mohapatra, Radu Cornea, 2003 .

Nikil Dutt, Sandip Ray, Francesco Regazzoni, 2017 .

Aviral Shrivastava, Nikil Dutt, Prabhat Mishra, 2004, ACM Trans. Design Autom. Electr. Syst..

Nikil Dutt, Prabhat Mishra, Alex Nicolau pmishra, 2001 .

Nikil Dutt, Prabhat Mishra, Mahesh Mamidipaka, 2002 .