Youtao Zhang

发表

Hsien-Hsin S. Lee, Jun Yang, Weidong Shi, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Jun Yang, Youtao Zhang, Yi Xu, 2009, 2009 Asia and South Pacific Design Automation Conference.

Rajiv Gupta, Youtao Zhang, Eduard Mehofer, 2002, The Compiler Design Handbook.

Xiangyu Zhang, Rajiv Gupta, Youtao Zhang, 2005, TOPL.

Rajiv Gupta, Youtao Zhang, Eduard Mehofer, 2002, CC.

Yiran Chen, Jianhua Yang, Guangyu Sun, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Marek Chrobak, Jun Yang, Youtao Zhang, 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.

Marek Chrobak, Jun Yang, Youtao Zhang, 2010, TACO.

Jun Yang, Youtao Zhang, Yi Xu, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Jun Yang, Youtao Zhang, Linzhang Wang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Jun Yang, Youtao Zhang, Yi Xu, 2008, 2008 37th International Conference on Parallel Processing.

Jun Yang, Youtao Zhang, XianWei Zhang, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Rajiv Gupta, Youtao Zhang, Youtao Zhang, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

Jun Yang, Youtao Zhang, Ping Zhou, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jun Yang, Youtao Zhang, Lan Gao, 2003, MICRO.

Jun Yang, Youtao Zhang, Bruce R. Childers, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jun Yang, Youtao Zhang, Wen Wen, 2017, Microprocess. Microsystems.

Lin Li, Jun Yang, Youtao Zhang, 2011, 2011 Design, Automation & Test in Europe.

Jun Yang, Youtao Zhang, Bo Zhao, 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Weijia Li, Jun Yang, Youtao Zhang, 2010, J. Netw. Comput. Appl..

Lei Zhao, Youtao Zhang, Jun Yang, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Chun Jason Xue, Youtao Zhang, Kai Liu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jun Yang, Youtao Zhang, Wen Wen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Jun Yang, Youtao Zhang, Yi Xu, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Chun Jason Xue, Youtao Zhang, Cheng Ji, 2019, 2019 35th Symposium on Mass Storage Systems and Technologies (MSST).

Youtao Zhang, Mehrnoosh Raoufi, Quan Deng, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Jun Yang, Youtao Zhang, Bo Zhao, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Jun Yang, Youtao Zhang, Lan Gao, 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

Shanlin Yang, Youtao Zhang, Yi Chen, 2018, Appl. Math. Comput..

Youtao Zhang, Shuai Ding, Shanlin Yang, 2020, IEEE Transactions on Knowledge and Data Engineering.

Jun Yang, Youtao Zhang, Bo Zhao, 2015, ACM Trans. Design Autom. Electr. Syst..

Weijia Li, Youtao Zhang, Bruce R. Childers, 2009, DCOSS.

Youtao Zhang, Chi Zhang, Eddy Z. Zhang, 2020, ArXiv.

Qiao Li, Jun Yang, Liang Shi, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jun Yang, Youtao Zhang, Quan Deng, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yanchun Zhang, Hao Wang, Youtao Zhang, 2020, IEEE Journal of Biomedical and Health Informatics.

Quanzhong Li, Jun Yang, Youtao Zhang, 2005, SAC '05.

Nong Xiao, Fang Liu, Youtao Zhang, 2014, 2014 IEEE International Conference on Cluster Computing (CLUSTER).

Yanxiang He, Chun Jason Xue, Youtao Zhang, 2013, LCTES '13.

Xiangyu Zhang, Rajiv Gupta, Youtao Zhang, 2003, 25th International Conference on Software Engineering, 2003. Proceedings..

Yanxiang He, Chun Jason Xue, Youtao Zhang, 2013, LCTES '13.

Weijia Li, Jun Yang, Youtao Zhang, 2006, 2006 IEEE International Conference on Mobile Ad Hoc and Sensor Systems.

Youtao Zhang, Jun Yang, Bruce R. Childers, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Wei Wu, Jun Yang, Youtao Zhang, 2006, 2006 International Conference on Computer Design.

Rajiv Gupta, Youtao Zhang, Bengu Li, 2004, LCPC.

Youtao Zhang, Jun Yang, Quan Deng, 2018, DAC.

Jun Yang, Youtao Zhang, Xin Xin, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Rajiv Gupta, Youtao Zhang, 2006, Softw. Pract. Exp..

Jun Yang, Youtao Zhang, Bruce R. Childers, 2015, MEMSYS.

Youtao Zhang, Jun Yang, Wen Wen, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Jun Yang, Youtao Zhang, Minxuan Zhang, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Jun Yang, Youtao Zhang, Jianhua Zhao, 2010, IEEE Transactions on Parallel and Distributed Systems.

Nong Xiao, Youtao Zhang, Yimo Du, 2014, 2014 IEEE Intl Conf on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS).

Youtao Zhang, Jun Yang, Weiguo Wu, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Youtao Zhang, Jun Yang, Sparsh Mittal, 2017, IEEE Computer Architecture Letters.

Marek Chrobak, Hsien-Hsin S. Lee, Jun Yang, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Jun Yang, Youtao Zhang, Rujia Wang, 2016, 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Jun Yang, Youtao Zhang, Bruce R. Childers, 2016, MEMSYS.

Youtao Zhang, Jun Yang, Lei Zhao, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Taieb Znati, Zhiguang Qin, Youtao Zhang, 2011, J. Syst. Archit..

Chi Zhang, Jun Yang, Youtao Zhang, 2019, 2019 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Rami G. Melhem, Minyi Guo, Jun Yang, 2016, IEEE Computer Architecture Letters.

Weijia Li, Jun Yang, Youtao Zhang, 2008, 2008 IEEE/IFIP International Conference on Embedded and Ubiquitous Computing.

Taieb Znati, Zhiguang Qin, Youtao Zhang, 2009, 2009 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

Jun Yang, Youtao Zhang, Rujia Wang, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jun Yang, Youtao Zhang, Weiguo Wu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Weijia Li, Jun Yang, Youtao Zhang, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Jun Yang, Youtao Zhang, Bruce R. Childers, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Youtao Zhang, Jun Yang, Wen Wen, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Jun Yang, Youtao Zhang, Lei Zhao, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Jun Yang, Youtao Zhang, Bo Zhao, 2012, DAC Design Automation Conference 2012.

Jun Yang, Youtao Zhang, XianWei Zhang, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Jun Yang, Youtao Zhang, Bruce R. Childers, 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN).

Jun Yang, Youtao Zhang, Ping Zhou, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).

Rajiv Gupta, Youtao Zhang, Youtao Zhang, 2001, PLDI '01.

Jun Yang, Youtao Zhang, Lei Jiang, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Yiran Chen, Jun Yang, Youtao Zhang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Lei Zhao, Youtao Zhang, Jun Yang, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jingtong Hu, Chun Jason Xue, Youtao Zhang, 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Jun Yang, Youtao Zhang, Bruce R. Childers, 2017, ACM Trans. Design Autom. Electr. Syst..

Lin Li, Jun Yang, Youtao Zhang, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Rami G. Melhem, Daniel Mossé, Youtao Zhang, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Jun Yang, Rajiv Gupta, Youtao Zhang, 2000, MICRO 33.

Yiran Chen, Jun Yang, Youtao Zhang, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jun Yang, Onur Mutlu, Benjamin C. Lee, 2010, IEEE Micro.

Jun Yang, Youtao Zhang, Shiqiang Nie, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Shanlin Yang, Desheng Dash Wu, Youtao Zhang, 2018, Decis. Support Syst..

Wei Wu, Jun Yang, Youtao Zhang, 2005, ICESS.

Lei Zhao, Youtao Zhang, Jun Yang, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Youtao Zhang, Bo Zhao, Ping Zhou, 2014, IEEE Transactions on Computers.

Youtao Zhang, Weijia Li, Youtao Zhang, 2011 .

Xiangyu Zhang, Rajiv Gupta, Youtao Zhang, 2004, Proceedings. 26th International Conference on Software Engineering.

Jun Yang, Youtao Zhang, Lei Jiang, 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

Jun Yang, Youtao Zhang, Bruce R. Childers, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Jun Yang, Youtao Zhang, Zhenyu Zhao, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jun Yang, Youtao Zhang, Lan Gao, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Yiran Chen, Jun Yang, Youtao Zhang, 2013, ACM Trans. Design Autom. Electr. Syst..

Youtao Zhang, Chi Zhang, Bruce R. Childers, 2016, 2016 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Jun Yang, Youtao Zhang, Hai Trong Vu, 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

Jun Yang, Chen Li, Yang Guo, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jun Yang, Rajiv Gupta, Youtao Zhang, 2000, ASPLOS.

Guodong Li, Youtao Zhang, Yaochun Huang, 2004, Proceedings. Tenth International Conference on Parallel and Distributed Systems, 2004. ICPADS 2004..

Jun Yang, Lei Zhao, Youtao Zhang, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Jun Yang, Youtao Zhang, Rujia Wang, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Youtao Zhang, Yifan Wang, Yuyan Zhou, 2015, 2015 Asia-Pacific Microwave Conference (APMC).

Jun Yang, Youtao Zhang, Bruce R. Childers, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Youtao Zhang, Jun Yang, Xin Xin, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jun Yang, Youtao Zhang, Linzhang Wang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Rami G. Melhem, Minyi Guo, Youtao Zhang, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Jun Yang, Youtao Zhang, Ping Zhou, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Jun Yang, Youtao Zhang, Xin Xin, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Desheng Dash Wu, Youtao Zhang, Shuai Ding, 2017, Decis. Support Syst..

Youtao Zhang, Jun Yang, Quan Deng, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Jun Yang, Youtao Zhang, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Chun Jason Xue, Youtao Zhang, Mengying Zhao, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Liang Shi, Chun Jason Xue, Youtao Zhang, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jun Yang, Youtao Zhang, Rujia Wang, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Rajiv Gupta, Youtao Zhang, Yongjing Lin, 2007, J. Syst. Softw..

Jun Yang, Youtao Zhang, Lan Gao, 2009, Comput. Electr. Eng..

Youtao Zhang, Hao Wang, Xiaojian Li, 2020, ACM Trans. Knowl. Discov. Data.

Youtao Zhang, Yizi Wu, 2015, 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems.

Jun Yang, Lei Zhao, Nong Xiao, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Kai Liu, Chun Jason Xue, Jun Yang, 2020, IEEE Transactions on Parallel and Distributed Systems.

Yongsheng Ding, Saba Rashid, Youtao Zhang, 2016, 2016 IEEE International Conference on Computational Electromagnetics (ICCEM).

Jun Yang, Youtao Zhang, Wen Wen, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Xiangyu Zhang, Jun Yang, Rajiv Gupta, 2005, 11th International Symposium on High-Performance Computer Architecture.

Rami G. Melhem, Minyi Guo, Jun Yang, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Youtao Zhang, Yifan Wang, Mingda Zhu, 2016, 2016 International Conference on Electromagnetics in Advanced Applications (ICEAA).

Youtao Zhang, Yongjing Lin, 2005, Data Compression Conference.

Jun Yang, Youtao Zhang, Lan Gao, 2005, IEEE Transactions on Computers.

Youtao Zhang, Jun Yang, Wen Wen, 2018, DAC.

Jun Yang, Youtao Zhang, Bo Zhao, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rajiv Gupta, Youtao Zhang, 2002, Proceedings DCC 2002. Data Compression Conference.

Edwin Hsing-Mean Sha, Youtao Zhang, Cathy Qun Xu, 2003, PDCS.

Youtao Zhang, Zili Shao, 2009, J. Embed. Comput..

Jun Yang, Youtao Zhang, XianWei Zhang, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Kirk Pruhs, Nong Xiao, Youtao Zhang, 2019, ACM Trans. Embed. Comput. Syst..

Jun Yang, Youtao Zhang, Bo Zhao, 2013, IEEE Transactions on Computers.

Nong Xiao, Youtao Zhang, Yimo Du, 2014, 2014 43rd International Conference on Parallel Processing.

Edwin Hsing-Mean Sha, Qingfeng Zhuge, Youtao Zhang, 2004, Int. J. High Perform. Comput. Netw..

Liang Shi, Chun Jason Xue, Youtao Zhang, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Aviral Shrivastava, Jian-Jia Chen, Youtao Zhang, 2020, ACM Trans. Embed. Comput. Syst..

Jun Yang, Youtao Zhang, Jun Yang, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

Aviral Shrivastava, Jian-Jia Chen, Youtao Zhang, 2020, ACM Trans. Embed. Comput. Syst..

Nong Xiao, Youtao Zhang, Zhengguo Chen, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Youtao Zhang, Andrew Zigerelli, Jun Yang, 2021, ArXiv.

Youtao Zhang, Andrew Zigerelli, Jun Yang, 2021, ACM Great Lakes Symposium on VLSI.

Youtao Zhang, Xin Xin, Jun Yang, 2021, MICRO.

Youtao Zhang, Shuai Ding, Shanlin Yang, 2021, IEEE Journal of Biomedical and Health Informatics.

Youtao Zhang, Shuai Ding, Shanlin Yang, 2021, IEEE Transactions on Instrumentation and Measurement.

Desheng Dash Wu, Youtao Zhang, Shikang Hu, 2022, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Youtao Zhang, Xulong Tang, Weizheng Xu, 2021, WWW.

Youtao Zhang, Jun Yang, Lei Zhao, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).