Kaushik Roy
发表
Kaushik Roy,
Jing Li,
Patrick Ndai,
2009,
ASP-DAC.
Chip-Hong Chang,
Kaushik Roy,
Le Zhang,
2014,
2014 IEEE International Symposium on Circuits and Systems (ISCAS).
Kaushik Roy,
Indranil Chakraborty,
Gobinda Saha,
2018,
ArXiv.
Kaushik Roy,
Parami Wijesinghe,
Chamika M. Liyanagedera,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Kaushik Roy,
Gopalakrishnan Srinivasan,
Priyadarshini Panda,
2018,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2005,
J. Electron. Test..
Kaushik Roy,
Bipul C. Paul,
C. Neau,
2004
.
Kaushik Roy,
Swarup Bhunia,
2002,
Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Chaitali Chakrabarti,
Georgios Karakonstantis,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Kaushik Roy,
Liqiong Wei,
Rongtian Zhang,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Majid Sarrafzadeh,
Prithviraj Banerjee,
2000,
ACM Great Lakes Symposium on VLSI.
Kaushik Roy,
Amit Agarwal,
Ram Krishnamurthy,
2004,
IEEE International SOC Conference, 2004. Proceedings..
Kaushik Roy,
Hendrawan Soeleman,
Bipul Chandra Paul,
2000,
ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
Kaushik Roy,
Karthik Yogendra,
Deliang Fan,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2012,
ISLPED '12.
Kaushik Roy,
Abhijit Chatterjee,
K. Roy,
1994,
IEEE Des. Test Comput..
Kaushik Roy,
Chuan-Yu Wang,
1996,
Proceedings of 9th International Conference on VLSI Design.
Kaushik Roy,
Swarup Bhunia,
2005,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Arijit Raychowdhury,
Myeong-Eun Hwang,
2004,
2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
Capacitively Driven Global Interconnect with Magnetoelectric Switching Based Receiver for Higher Energy Efficiency
pdf
Kaushik Roy,
Indranil Chakraborty,
Zubair Al Azim,
2018,
ArXiv.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2003,
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
Kaushik Roy,
Cheng-Kok Koh,
Shiyou Zhao,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Kaushik Roy,
Ashish Goel,
Mayur Bubna,
2012,
2012 IEEE 30th VLSI Test Symposium (VTS).
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2005,
14th Asian Test Symposium (ATS'05).
Kaushik Roy,
Indranil Chakraborty,
Akhilesh Jaiswal,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Chuan-Yu Wang,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2005,
J. Electron. Test..
Kaushik Roy,
Hendrawan Soeleman,
Bipul Chandra Paul,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Mark C. Johnson,
Kaushik Roy,
Mark Johnson,
1998,
ISLPED '98.
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2012,
2012 25th International Conference on VLSI Design.
Kaushik Roy,
M. E. Levitt,
Jacob A. Abraham,
1991,
Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.
Kaushik Roy,
Vivek De,
Ali Keshavarzi,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Convolutional Spike Timing Dependent Plasticity based Feature Learning in Spiking Neural Networks
pdf
Kaushik Roy,
Gopalakrishnan Srinivasan,
Priyadarshini Panda,
2017,
ArXiv.
Kaushik Roy,
Dinesh Somasekhar,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Kaushik Roy,
Georgios Panagopoulos,
Georgios Karakonstantis,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Kaushik Roy,
Swarup Bhunia,
K. Roy,
2010
.
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2005,
11th IEEE International On-Line Testing Symposium.
Kaushik Roy,
Xuanyao Fong,
Yeongkyo Seo,
2016,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Kaushik Roy,
Indranil Chakraborty,
Akhilesh Jaiswal,
2019,
ACM Great Lakes Symposium on VLSI.
Kaushik Roy,
Cheng-Kok Koh,
Rongtian Zhang,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Kaushik Roy,
Bipul Chandra Paul,
Naran Sirisantana,
2004,
IEEE Design & Test of Computers.
Kaushik Roy,
Georgios Karakonstantis,
G. Karakonstantis,
2011,
2011 20th European Conference on Circuit Theory and Design (ECCTD).
Kaushik Roy,
Amit Agarwal,
Hai Li,
2002,
DAC '02.
Kaushik Roy,
Shreyas Sen,
Priyadarshini Panda,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Swarup Bhunia,
Saibal Mukhopadhyay,
2007,
20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
Kaushik Roy,
Hiroaki Suzuki,
Woopyo Jeong,
2004,
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
Kaushik Roy,
Anand Raghunathan,
Vaibhav Gupta,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Kaushik Roy,
Anand Raghunathan,
Deliang Fan,
2014,
IEEE Transactions on Nanotechnology.
Kaushik Roy,
Chuan-Yu Wang,
1995,
Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.
Kaushik Roy,
Kunhyuk Kang,
Haldun Kufluoglu,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Kaushik Roy,
Mrigank Sharad,
Charles Augustine,
2012,
ArXiv.
Kaushik Roy,
2002
.
Kaushik Roy,
Bipul Chandra Paul,
Woopyo Jeong,
2004,
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
Kaushik Roy,
Shreyas Sen,
Priyadarshini Panda,
2017,
2017 IEEE International Conference on Rebooting Computing (ICRC).
Kaushik Roy,
Amit Agarwal,
Anand Raghunathan,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Kaushik Roy,
Sharat C. Prasad,
1993
.
Controlled Forgetting: Targeted Stimulation and Dopaminergic Plasticity Modulation for Unsupervised Lifelong Learning in Spiking Neural Networks
pdf
Kaushik Roy,
Jason M. Allred,
K. Roy,
2019,
Frontiers in Neuroscience.
Kaushik Roy,
Nilanjan Banerjee,
Jung Hwan Choi,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Kaushik Roy,
Xiaodong Zhang,
2000,
Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).
Kaushik Roy,
Xuanyao Fong,
Yusung Kim,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Chip-Hong Chang,
Kaushik Roy,
Xuanyao Fong,
2015,
IEEE Transactions on Information Forensics and Security.
Kaushik Roy,
Chris H. Kim,
2002,
DATE.
Kaushik Roy,
Soo Youn Kim,
Chih-Hsiang Ho,
2015,
Microelectron. Reliab..
Kaushik Roy,
Cheng-Kok Koh,
Yiran Chen,
2004
.
Kaushik Roy,
Steven Hsu,
Ram Krishnamurthy,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Srimat T. Chakradhar,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
Anand Raghunathan,
Charles Augustine,
2012,
ISLPED '12.
Kaushik Roy,
Tan-Li Chou,
1998,
Integr. Comput. Aided Eng..
Kaushik Roy,
Dongsoo Lee,
2013
.
Kaushik Roy,
Vijay Raghunathan,
Gopalakrishnan Srinivasan,
2017,
2017 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2010,
Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
Kaushik Roy,
Jason M. Allred,
2016,
2016 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2008,
J. Electron. Test..
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005,
14th Asian Test Symposium (ATS'05).
Kaushik Roy,
Aditya Bansal,
Jayathi Murthy,
2006,
ICCAD.
Kaushik Roy,
Jaydeep P. Kulkarni,
Sumeet Kumar Gupta,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Arijit Raychowdhury,
Aditya Bansal,
2006
.
Kaushik Roy,
Saibal Mukhopadhyay,
Saakshi Gangwal,
2006,
IEEE Custom Integrated Circuits Conference 2006.
Kaushik Roy,
Babak Falsafi,
T. N. Vijaykumar,
2000
.
Kaushik Roy,
Keith A. Jenkins,
Ching-Te Chuang,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
Kaushik Roy,
Chuan-Yu Wang,
1997
.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Kaushik Roy,
Pedro P. Irazoqui,
Shriram Raghunathan,
2012,
ISLPED '12.
Kaushik Roy,
Chris Hyung-Il Kim,
2001,
ISLPED '01.
Kaushik Roy,
Hunsoo Choo,
Hamid Mahmoodi,
2004,
2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
Abhronil Sengupta,
Yong Shim,
2015,
IEEE Transactions on Biomedical Circuits and Systems.
Kaushik Roy,
Dongsoo Lee,
2012,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Syed Shakib Sarwar,
Aayush Ankit,
2017,
IEEE Access.
Kaushik Roy,
Bipul Chandra Paul,
Kunhyuk Kang,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Kaushik Roy,
Akhilesh Jaiswal,
Amogh Agrawal,
2017,
ArXiv.
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2013,
ArXiv.
Kaushik Roy,
Swaroop Ghosh,
Arijit Raychowdhury,
2005,
11th IEEE International On-Line Testing Symposium.
Kaushik Roy,
Hai Li,
Swarup Bhunia,
2002,
Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2013,
ArXiv.
Kaushik Roy,
Saibal Mukhopadhyay,
Aditya Bansal,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Kaushik Roy,
Rajiv V. Joshi,
2003,
16th International Conference on VLSI Design, 2003. Proceedings..
Kaushik Roy,
Khurram Muhammad,
1997,
Proceedings International Conference on Computer Design VLSI in Computers and Processors.
Kaushik Roy,
Yonghee Im,
2001,
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
Kaushik Roy,
Yu Cao,
Ethan H. Cannon,
2018,
2018 IEEE International Reliability Physics Symposium (IRPS).
Kaushik Roy,
Yongtao Wang,
K. Roy,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Jongsun Park,
Hwan Choi Jung,
2006
.
Kaushik Roy,
Bipul C. Paul,
Woopyo Jeong,
2004
.
Kaushik Roy,
Cheng-Kok Koh,
Aiqun Cao,
2003,
ASP-DAC '03.
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2002,
J. Circuits Syst. Comput..
Younghoon Kim,
Kaushik Roy,
Anand Raghunathan,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
Cheng-Kok Koh,
Rongtian Zhang,
2001,
DAC '01.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Nilanjan Banerjee,
Jung Hwan Choi,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Mrigank Sharad,
M. Sharad,
2014
.
Kaushik Roy,
Swarup Bhunia,
Saibal Mukhopadhyay,
2015,
IEEE J. Emerg. Sel. Topics Circuits Syst..
Kaushik Roy,
Anand Raghunathan,
Dimitrios Peroulis,
2010,
2010 23rd International Conference on VLSI Design.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
Design, Automation and Test in Europe.
Kaushik Roy,
Mohammad Khaled Hassan,
2017,
Microelectron. Reliab..
Kaushik Roy,
Dongsoo Lee,
Jae-Joon Kim,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Kaushik Roy,
Cheng-Kok Koh,
Rui Wang,
2001,
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
Kaushik Roy,
Seung Hoon Choi,
2002,
Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.
Kaushik Roy,
Swaroop Ghosh,
2008,
2008 Asia and South Pacific Design Automation Conference.
Kaushik Roy,
Hunsoo Choo,
Hamid Mahmoodi,
2002,
ISLPED '02.
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Foysal Ahmad,
K. Roy,
2017,
Defense + Security.
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2006,
ICCAD.
Kaushik Roy,
Karthik Yogendra,
Chamika M. Liyanagedera,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Khurram Muhammad,
K. Muhammad,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Georgios Karakonstantis,
2011,
Low-Power Variation-Tolerant Design in Nanometer Silicon.
Kaushik Roy,
Ashish Goel,
Jeff Parkhurst,
2011,
2011 Asian Test Symposium.
Kaushik Roy,
Dimitrios Peroulis,
Jeong I. Kim,
2006,
IEEE Custom Integrated Circuits Conference 2006.
Kaushik Roy,
Priyadarshini Panda,
Abhronil Sengupta,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Energy Efficient and High Performance Current-Mode Neural Network Circuit using Memristors and Digitally Assisted Analog CMOS Neurons
pdf
Kaushik Roy,
Mrigank Sharad,
Siddharth Singh,
2015,
ArXiv.
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2002,
Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Tan-Li Chou,
Sharat Prasad,
1994,
ICCAD '94.
Mark C. Johnson,
Kaushik Roy,
1996,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors.
Kaushik Roy,
Charles Augustine,
Nilanjan Banerjee,
2008,
2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems.
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2007,
TODE.
Kaushik Roy,
Vivek De,
Liqiong Wei,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Kaushik Roy,
Swaroop Ghosh,
Pooja Batra,
2007,
2007 IEEE Custom Integrated Circuits Conference.
Kaushik Roy,
Arijit Raychowdhury,
Bipul Chandra Paul,
2004,
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
Kaushik Roy,
Seung Hoon Choi,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Bhanu Kapoor,
Shireesh Verma,
2008,
2008 Ninth International Workshop on Microprocessor Test and Verification.
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
An All-Memristor Deep Spiking Neural Network: A Step Towards Realizing the Low Power, Stochastic Brain
pdf
Kaushik Roy,
Parami Wijesinghe,
Abhronil Sengupta,
2017,
ArXiv.
Kaushik Roy,
Hari Ananthan,
2006
.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Kaushik Roy,
Hendrawan Soeleman,
Dinesh Somasekhar,
1998,
Proceedings of the 8th Great Lakes Symposium on VLSI (Cat. No.98TB100222).
Kaushik Roy,
Anand Raghunathan,
Sumeet Kumar Gupta,
2012,
DAC Design Automation Conference 2012.
Kaushik Roy,
Franco Stellari,
Peilin Song,
2008,
2008 IEEE Custom Integrated Circuits Conference.
Kaushik Roy,
Masahiro Fujita,
Görschwin Fey,
2012,
Dagstuhl Reports.
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
Amit Agarwal,
Bipul Chandra Paul,
2006,
Integr..
Kaushik Roy,
Bipul Chandra Paul,
Cassondra Neau,
2004,
2004 International Conferce on Test.
Kaushik Roy,
Hiroaki Suzuki,
Woopyo Jeong,
2007,
IEICE Trans. Electron..
Kaushik Roy,
Ankit Sharma,
A. Arun Goud,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Abhronil Sengupta,
Aayush Ankit,
2017,
2017 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Debabrata Mohapatra,
2011
.
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2007
.
Kaushik Roy,
Gopalakrishnan Srinivasan,
K. Roy,
2019,
Front. Neurosci..
Kaushik Roy,
Amogh Agrawal,
2018,
2018 IEEE International Workshop on Signal Processing Systems (SiPS).
Kaushik Roy,
Syed Shakib Sarwar,
Abhronil Sengupta,
2015,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Vivek De,
Ali Keshavarzi,
2000,
Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
Kaushik Roy,
S. C. Prasad,
1996,
TODE.
Kaushik Roy,
Yu Cao,
Ethan H. Cannon,
2018,
Microelectron. Reliab..
Kaushik Roy,
Jongsun Park,
K. Roy,
2008,
J. Signal Process. Syst..
Kaushik Roy,
Yibin Ye,
1997
.
Kaushik Roy,
Indranil Chakraborty,
Amogh Agrawal,
2018,
IEEE Magnetics Letters.
Kaushik Roy,
Chris H. Kim,
Saibal Mukhopadhyay,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Aditya Bansal,
Dheepa Lekshmanan,
2007,
2007 IEEE Custom Integrated Circuits Conference.
Kaushik Roy,
Yibin Ye,
1997,
DAC.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2014,
2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
Kaushik Roy,
Anand Raghunathan,
Görschwin Fey,
2012,
2012 15th Euromicro Conference on Digital System Design.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2013,
2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Kaushik Roy,
Jacob A. Abraham,
Marc E. Levitt,
1994,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Anand Raghunathan,
Ashish Ranjan,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Magnetic Tunnel Junction Enabled Stochastic Spiking Neural Networks: From Non-Telegraphic to Telegraphic Switching Regime
pdf
Kaushik Roy,
Chamika M. Liyanagedera,
Abhronil Sengupta,
2017,
ArXiv.
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Kaushik Roy,
Swarup Bhunia,
2008,
SoCC.
Dejan S. Milojicic,
Kaushik Roy,
Paolo Faraboschi,
2018,
2018 IEEE International Conference on Rebooting Computing (ICRC).
Kaushik Roy,
Jaydeep P. Kulkarni,
Keejong Kim,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Mark C. Johnson,
Kaushik Roy,
Dinesh Somasekhar,
1999,
DAC '99.
Kaushik Roy,
Parami Wijesinghe,
Priyadarshini Panda,
2015,
Scientific Reports.
Kaushik Roy,
Karthik Yogendra,
Abhronil Sengupta,
2016,
2016 IEEE International Symposium on Circuits and Systems (ISCAS).
Kaushik Roy,
Chun-yao Wang,
2000
.
Kaushik Roy,
Hai Li,
Chen-Yong Cher,
2003,
MICRO.
Kaushik Roy,
Amogh Agrawal,
Aayush Ankit,
2017,
IEEE Transactions on Computers.
Kaushik Roy,
Anand Raghunathan,
W. Paul Griffin,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
David Bol,
François Botman,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Abhronil Sengupta,
Yong Shim,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Mark C. Johnson,
Kaushik Roy,
Dongku Kang,
2003,
Proceedings 21st International Conference on Computer Design.
Kaushik Roy,
Seong-Ook Jung,
Myeong-Eun Hwang,
2009,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Khurram Muhammad,
Sarah Kate Wilson,
2001,
IEEE Trans. Signal Process..
Kaushik Roy,
Anand Raghunathan,
Arnab Raha,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Hari Ananthan,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Kaushik Roy,
Zhanping Chen,
Yibin Ye,
1998,
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).
Kaushik Roy,
Farshad Moradi,
Hamid Mahmoodi,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Kaushik Roy,
Sudip Nag,
K. Roy,
1998,
VLSI Design.
Kaushik Roy,
Cheng-Kok Koh,
Guoan Zhong,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Kaushik Roy,
Liqiong Wei,
Zhanping Chen,
2000,
Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).
Kaushik Roy,
Mark M. Budnik,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Cheng-Kok Koh,
Guoan Zhong,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Sujit Dey,
Anand Raghunathan,
1999,
VLSI Design.
Kaushik Roy,
Khurram Muhammad,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Kaushik Roy,
Anand Raghunathan,
Srimat T. Chakradhar,
2010,
Design Automation Conference.
Kaushik Roy,
James R. Anderson,
Siddharth Sheth,
1998,
FPGA '98.
Kaushik Roy,
Arjun Guha,
Qikai Chen,
2007,
20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
Kaushik Roy,
Priyadarshini Panda,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2004
.
Kaushik Roy,
Naran Sirisantana,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Ising spin model using Spin-Hall Effect (SHE) induced magnetization reversal in Magnetic-Tunnel-Junction
pdf
Kaushik Roy,
Akhilesh Jaiswal,
Yong Shim,
2016,
ArXiv.
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2014,
2014 IEEE 12th Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia).
Kaushik Roy,
Swarup Bhunia,
Jaume Segura,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Shubham Jain,
2018,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Arijit Raychowdhury,
Aditya Bansal,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Kaushik Roy,
Kunhyuk Kang,
Muhammad Ashraful Alam,
2007,
2007 IEEE International Test Conference.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013,
2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
Kaushik Roy,
Florentin Dartu,
Kunhyuk Kang,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Mark C. Johnson,
Kaushik Roy,
Dongku Kang,
2004,
International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
Kaushik Roy,
Gopalakrishnan Srinivasan,
Abhronil Sengupta,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Kaushik Roy,
Hamid Mahmoodi,
Matthew Cooke,
2005,
GLSVLSI '05.
Kaushik Roy,
Chao Lu,
Debabrata Mohapatra,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Kaushik Roy,
Babak Falsafi,
T. N. Vijaykumar,
2000,
ISLPED '00.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
2005 International Conference on Computer Design.
Kaushik Roy,
Jacob A. Abraham,
1990,
Proceedings of the European Design Automation Conference, 1990., EDAC..
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005,
IEEE International Conference on Test, 2005..
Kaushik Roy,
Anand Raghunathan,
2013,
2013 IEEE 19th International On-Line Testing Symposium (IOLTS).
Kaushik Roy,
Sang Phill Park,
Kunhyuk Kang,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Jacob A. Abraham,
Kaushik De,
1989,
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.
Kaushik Roy,
Karthik Yogendra,
Xuanyao Fong,
2015,
Sixteenth International Symposium on Quality Electronic Design.
Kaushik Roy,
Cheng-Kok Koh,
Guoan Zhong,
2002,
ICCAD 2002.
Kaushik Roy,
Babak Falsafi,
T. N. Vijaykumar,
2000
.
Kaushik Roy,
Anand Raghunathan,
Xuanyao Fong,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Jing Li,
Swaroop Ghosh,
2007,
2007 IEEE International Test Conference.
Kaushik Roy,
Ashish Goel,
Swarup Bhunia,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Kaushik Roy,
Akhilesh Jaiswal,
Yong Shim,
2017,
2017 IEEE International Conference on Computer Design (ICCD).
Kaushik Roy,
Jing Li,
Haixin Liu,
2008,
2008 IEEE Custom Integrated Circuits Conference.
Kaushik Roy,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Kaushik Roy,
Kwang-Ting Cheng,
T. M. Mak,
2006,
IEEE Design & Test of Computers.
Kaushik Roy,
Bing Han,
Abhronil Sengupta,
2016,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Byunghoo Jung,
Sang Phill Park,
2012,
2012 IEEE International Conference on IC Design & Technology.
Kaushik Roy,
Ali Keshavarzi,
Liqiong Wei,
2002,
IEEE Design & Test of Computers.
Kaushik Roy,
James Tschanz,
Vivek De,
2002,
IEEE Design & Test of Computers.
Kaushik Roy,
Abhronil Sengupta,
Yusung Kim,
2014,
ArXiv.
Kaushik Roy,
Ali Keshavarzi,
Charles F. Hawkins,
1997,
Proceedings International Test Conference 1997.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Kaushik Roy,
Swaroop Ghosh,
Patrick Ndai,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Akhilesh Jaiswal,
2016,
2016 17th Latin-American Test Symposium (LATS).
Kaushik Roy,
Amit Agarwal,
Babak Falsafi,
2001,
MICRO.
Kaushik Roy,
Parami Wijesinghe,
Chamika M. Liyanagedera,
2017,
2017 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Bipul Chandra Paul,
2002,
Proceedings. International Test Conference.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Mrigank Sharad,
Anand Kumar Mukhopadhyay,
2016,
2016 74th Annual Device Research Conference (DRC).
Kaushik Roy,
2006,
Integr..
Kaushik Roy,
Xiaodong Zhang,
Wenlei Shan,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Zhanping Chen,
1997,
Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334).
Kaushik Roy,
Xiaowei Ding,
2004,
IEEE INFOCOM 2004.
Kaushik Roy,
Florentin Dartu,
Seung Hoon Choi,
2002,
DAC '02.
Kaushik Roy,
Anand Raghunathan,
Srimat T. Chakradhar,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2005,
14th Asian Test Symposium (ATS'05).
Kaushik Roy,
Soo Youn Kim,
Selin Baytok,
2011,
2011 12th International Symposium on Quality Electronic Design.
Kaushik Roy,
Alex Pappachen James,
Chamika M. Liyanagedera,
2018,
2018 IEEE International Symposium on Circuits and Systems (ISCAS).
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2014,
IEEE Transactions on Nanotechnology.
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2008,
21st International Conference on VLSI Design (VLSID 2008).
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2004,
ICCAD 2004.
Kaushik Roy,
Sharat Prasad,
S. Prasad,
1992,
Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
Rolf Drechsler,
Kaushik Roy,
Yibin Ye,
1999,
Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
Kaushik Roy,
Hunsoo Choo,
2005,
Proceedings. (ICASSP '05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005..
Kaushik Roy,
Jing Li,
Kunhyuk Kang,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Naran Sirisantana,
2004,
IEEE Design & Test of Computers.
Suku Nair,
Kaushik Roy,
Jacob A. Abraham,
1988,
[1988] The Eighteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.
Kaushik Roy,
Keejong Kim,
Tamer Cakici,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Kaushik Roy,
Vivek De,
Dinesh Somasekhar,
2000,
Proceedings 37th Design Automation Conference.
Kaushik Roy,
Charles Augustine,
Arijit Raychowdhury,
2009,
2009 10th International Symposium on Quality Electronic Design.
Kaushik Roy,
Amit Agarwal,
T. N. Vijaykumar,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Seunq Hoon Choi,
2002
.
Kaushik Roy,
Georgios Karakonstantis,
Debabrata Mohapatra,
2009,
ISLPED.
Exploiting Inherent Error-Resiliency of Neuromorphic Computing to achieve Extreme Energy-Efficiency through Mixed-Signal Neurons
pdf
Kaushik Roy,
Shreyas Sen,
Priyadarshini Panda,
2018,
ArXiv.
Kaushik Roy,
T. N. Vijaykumar,
Amit Agarwal,
2003,
Embedded Software for SoC.
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2004,
International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
Kaushik Roy,
Santanu Dutta,
Sudip Nag,
1994,
Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
Kaushik Roy,
Yibin Ye,
Georgios I. Stamoulis,
1997,
ISLPED '97.
Kaushik Roy,
Khurram Muhammad,
Dinesh Somasekhar,
1999,
Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).
Kaushik Roy,
Amit Agarwal,
Chris H. Kim,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Saibal Mukhopadhyay,
2003,
ISLPED '03.
Kaushik Roy,
Khurram Muhammad,
2000,
2000 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.00CH37100).
Technology Aware Training in Memristive Neuromorphic Systems based on non-ideal Synaptic Crossbars
pdf
Kaushik Roy,
Indranil Chakraborty,
Deboleena Roy,
2017,
ArXiv.
Mark C. Johnson,
Kaushik Roy,
Dinesh Somasekhar,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Anand Raghunathan,
Charles Augustine,
2011,
2011 IEEE/ACM International Symposium on Nanoscale Architectures.
Kaushik Roy,
Yongtao Wang,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Kaushik Roy,
Amit Agarwal,
Swarup Bhunia,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Hiroaki Suzuki,
Chris H. Kim,
2007,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Yibin Ye,
Dinesh Somasekhar,
1996
.
Kaushik Roy,
Sharat Prasad,
1994,
FPL.
Kaushik Roy,
Steven Hsu,
Ram Krishnamurthy,
2005,
11th IEEE International On-Line Testing Symposium.
Kaushik Roy,
Yonghee Im,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Hai Li,
Chen-Yong Cher,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Debabrata Mohapatra,
2011,
2011 Design, Automation & Test in Europe.
Kaushik Roy,
Chankyu Lee,
Syed Shakib Sarwar,
2019,
Frontiers in Neuroscience.
Kaushik Roy,
Priyadarshini Panda,
K. Roy,
2017,
ArXiv.
Kaushik Roy,
Chris H. Kim,
Keejong Kim,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kaushik Roy,
Mrigank Sharad,
Charles Augustine,
2012,
The 2012 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Akhilesh Jaiswal,
K. Roy,
2016,
Scientific Reports.
Kaushik Roy,
Debashis Bhattacharya,
Naushik Sankarayya,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Kaushik Roy,
Jongsun Park,
2004,
2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.
Kaushik Roy,
Myeong-Eun Hwang,
K. Roy,
2008,
2008 IEEE Custom Integrated Circuits Conference.
Kaushik Roy,
Chuan-Yu Wang,
1997,
Proceedings International Conference on Computer Design VLSI in Computers and Processors.
Kaushik Roy,
Patrick Ndai,
Ashish Goel,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2004,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Kaushik Roy,
Swarup Bhunia,
K. Roy,
2007,
2007 IEEE International Test Conference.
Kaushik Roy,
Hendrawan Soeleman,
2017
.
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Patrick Ndai,
Ashish Goel,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Mark C. Johnson,
Kaushik Roy,
K. Roy,
1997,
TODE.
Kaushik Roy,
Bipul Chandra Paul,
Seung Hoon Choi,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2006,
12th IEEE International On-Line Testing Symposium (IOLTS'06).
Kaushik Roy,
Amit Agarwal,
Chris H. Kim,
2006,
IEEE Micro.
Kaushik Roy,
Georgios Karakonstantis,
Debabrata Mohapatra,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Kaushik Roy,
Priyadarshini Panda,
K. Roy,
2016,
2016 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Swarup Bhunia,
Lih-Yih Chiou,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Rajiv V. Joshi,
Ching-Te Chuang,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kaushik Roy,
Swaroop Ghosh,
Patrick Ndai,
2008,
2008 Design, Automation and Test in Europe.
Kaushik Roy,
Xuanyao Fong,
Charles Augustine,
2013,
JETC.
Kaushik Roy,
Liqiong Wei,
Z. Chen,
1998,
1998 IEEE International SOI Conference Proceedings (Cat No.98CH36199).
Kaushik Roy,
Khurram Muhammad,
Lih-Yih Chiou,
2001,
VLSI Design.
Kaushik Roy,
Georgios Karakonstantis,
Nilanjan Banerjee,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Kaushik Roy,
Tan-Li Chou,
R. Roy,
1997,
Proceedings Tenth International Conference on VLSI Design.
Kaushik Roy,
Kon-Woo Kwon,
Sri Harsha Choday,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2004,
19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..
Kaushik Roy,
Bipul Chandra Paul,
Yonghee Im,
2001,
Proceedings 10th Asian Test Symposium.
Kaushik Roy,
Ik Joon Chang,
Sang Phill Park,
2010,
IEEE Journal of Solid-State Circuits.
Dejan S. Milojicic,
Kaushik Roy,
Paolo Faraboschi,
2019,
ASPLOS.
Kaushik Roy,
Anand Raghunathan,
Sachin S. Sapatnekar,
2017,
DAC.
Kaushik Roy,
Xuanyao Fong,
Arijit Raychowdhury,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013,
International Symposium on Quality Electronic Design (ISQED).
Kaushik Roy,
Babak Falsafi,
T. N. Vijaykumar,
2001,
Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
Kaushik Roy,
Swaroop Ghosh,
Saibal Mukhopadhyay,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2013,
ArXiv.
Kaushik Roy,
Bing Han,
Abhronil Sengupta,
2016,
2016 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Hunsoo Choo,
Khurram Muhammad,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Swarup Bhunia,
Lih-Yih Chiou,
2005,
TECS.
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kaushik Roy,
Hunsoo Choo,
Khurram Muhammad,
2000,
2000 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.00CH37100).
Kaushik Roy,
Anand Raghunathan,
Shubham Jain,
2018,
ArXiv.
Kaushik Roy,
Anand Raghunathan,
Byunghoo Jung,
2010,
IEEE Design & Test.
Kaushik Roy,
Swaroop Ghosh,
K. Roy,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
T. N. Vijaykumar,
Se-Hyun Yang,
2001
.
Kaushik Roy,
Jaydeep P. Kulkarni,
K. Roy,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Amit Agarwal,
Chris H. Kim,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Kaushik Roy,
Cheng-Kok Koh,
Guoan Zhong,
2003,
ASP-DAC '03.
Kaushik Roy,
Anand Raghunathan,
Rangharajan Venkatesan,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2015,
ArXiv.
Kaushik Roy,
Arijit Raychowdhury,
K. Roy,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Kaushik Roy,
Gopalakrishnan Srinivasan,
Priyadarshini Panda,
2017,
2017 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Myeong-Eun Hwang,
K. Roy,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Sharat Prasad,
1994,
EURO-DAC '94.
Kaushik Roy,
Vivek De,
Shekhar Y. Borkar,
1999,
Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
Kaushik Roy,
Sang Phill Park,
Kunhyuk Kang,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Yiran Chen,
Kaushik Roy,
Swarup Bhunia,
2004,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2014,
2014 IEEE Computer Society Annual Symposium on VLSI.
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Kunhyuk Kang,
Keejong Kim,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Mark C. Johnson,
Kaushik Roy,
Vivek De,
1999,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Priyadarshini Panda,
Abhronil Sengupta,
2015,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Rajiv V. Joshi,
Ching-Te Chuang,
2007,
Microelectron. J..
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2005
.
Kaushik Roy,
2004,
International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
Fast and accurate estimation of SRAM read and hold failure probability using critical point sampling
Kaushik Roy,
Jongsun Park,
Ik Joon Chang,
2010,
IET Circuits Devices Syst..
Kaushik Roy,
Charles Augustine,
Georgios Karakonstantis,
2010,
2010 IEEE 16th International On-Line Testing Symposium.
Kaushik Roy,
Yongtao Wang,
K. Roy,
2005,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Kaushik Roy,
Indranil Chakraborty,
Gobinda Saha,
2018,
ArXiv.
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Kaushik Roy,
Bipul Chandra Paul,
Aditya Bansal,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hybrid Spintronic-CMOS Spiking Neural Network With On-Chip Learning: Devices, Circuits and Systems
pdf
Kaushik Roy,
Abhronil Sengupta,
Aparajita Banerjee,
2015,
ArXiv.
Kaushik Roy,
Cheng-Kok Koh,
Aiqun Cao,
2005,
TODE.
Kaushik Roy,
Debabrata Mohapatra,
Ik Joon Chang,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Jaydeep P. Kulkarni,
Mesut Meterelliyoz,
2008,
Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
Kaushik Roy,
Liqiong Wei,
Naran Sirisantana,
2000,
Proceedings 2000 International Conference on Computer Design.
Kaushik Roy,
Siddharth Singh,
Aditya Bansal,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Kaushik Roy,
Farshad Moradi,
Hamid Mahmoodi,
2014,
Microelectron. J..
Kaushik Roy,
Tan-Li Chou,
T. Chou,
1997,
Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.
Kaushik Roy,
T. N. Vijaykumar,
Amit Agarwal,
2001,
Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
Kaushik Roy,
Christos A. Papachristou,
Francis G. Wolff,
2010,
2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).
Kaushik Roy,
Hamid Mahmoodi,
Mesut Meterelliyoz,
2005,
IEEE International Conference on Test, 2005..
Kaushik Roy,
Mrigank Sharad,
Sohail Ahasan,
2016,
2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
Kaushik Roy,
Ali Keshavarzi,
Charles F. Hawkins,
2000,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Priyadarshini Panda,
K. Roy,
2016,
ArXiv.
TraNNsformer: Neural network transformation for memristive crossbar based neuromorphic system design
Kaushik Roy,
Abhronil Sengupta,
Aayush Ankit,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2016,
2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).
Kaushik Roy,
Swaroop Ghosh,
Patrick Ndai,
2008,
Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
Kaushik Roy,
Xuanyao Fong,
Abhronil Sengupta,
2014,
ArXiv.
Kaushik Roy,
Shreyas Sen,
Minsuk Koo,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
José A. B. Fortes,
Nirav H. Kapadia,
1997,
Proceedings of International Conference on Microelectronic Systems Education.
Kaushik Roy,
Dinesh Somasekhar,
Mark C. Johnson,
1998
.
Kaushik Roy,
Swaroop Ghosh,
K. Roy,
2010,
Proceedings of the IEEE.
Kaushik Roy,
Amit Agarwal,
Bipul Chandra Paul,
2004,
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
Kaushik Roy,
Liqiong Wei,
Zhanping Chen,
1997
.
Kaushik Roy,
Indranil Chakraborty,
Akhilesh Jaiswal,
2017,
IEEE Magnetics Letters.
Kaushik Roy,
Anand Raghunathan,
Srimat T. Chakradhar,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
Hunsoo Choo,
Hamid Mahmoodi,
2010,
J. Signal Process. Syst..
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Ali Keshavarzi,
2001,
ISQED.
Kaushik Roy,
Cassondra Neau,
2003,
ISLPED '03.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
23rd IEEE VLSI Test Symposium (VTS'05).
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005,
IEEE Journal of Solid-State Circuits.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2005,
ISQED.
Kaushik Roy,
Charles Augustine,
Georgios Panagopoulos,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Amit Agarwal,
Animesh Datta,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Vaibhav Gupta,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Bipul Chandra Paul,
Seung Hoon Choi,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Kaushik Roy,
Amit Agarwal,
A. Agarwal,
2003,
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005,
11th IEEE International On-Line Testing Symposium.
Kaushik Roy,
Dongsoo Lee,
K. Roy,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2015,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Shreyas Sen,
Priyadarshini Panda,
2017,
2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).
Kaushik Roy,
Jaydeep P. Kulkarni,
Sang Phill Park,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Babak Falsafi,
T. N. Vijaykumar,
2001
.
Kaushik Roy,
Swarup Bhunia,
Saibal Mukhopadhyay,
2005,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Kwang-Ting Cheng,
T. M. Mak,
2003,
Proceedings. 21st VLSI Test Symposium, 2003..
Kaushik Roy,
Georgios Karakonstantis,
Pedro P. Irazoqui,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Kaushik Roy,
Cheng-Kok Koh,
Shiyou Zhao,
2001,
ISPD '01.
Kaushik Roy,
Ashish Goel,
Farshad Moradi,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2013,
2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
Kaushik Roy,
Cheng-Kok Koh,
Rongtian Zhang,
2001
.
Kaushik Roy,
Cheng-Kok Koh,
Guoan Zhong,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Kaushik Roy,
Woo-Suhl Cho,
2015
.
Kaushik Roy,
Jongsun Park,
Soonkeon Kwon,
2002
.
Chip-Hong Chang,
Kaushik Roy,
Xuanyao Fong,
2016,
2016 IEEE International Symposium on Circuits and Systems (ISCAS).
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2008,
26th IEEE VLSI Test Symposium (vts 2008).
Kaushik Roy,
Cheng-Kok Koh,
Dinesh Somasekhar,
2000,
Proceedings of the 26th European Solid-State Circuits Conference.
Kaushik Roy,
Swarup Bhunia,
Srikar Bhagavatula,
2011,
2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).
Kaushik Roy,
Anand Raghunathan,
Xuanyao Fong,
2016,
Proceedings of the IEEE.
Kaushik Roy,
Cheng-Kok Koh,
Shiyou Zhao,
2000,
Proceedings 2000 International Conference on Computer Design.
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005
.
Kaushik Roy,
Swarup Bhunia,
K. Roy,
2002,
Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).
Kaushik Roy,
Amit Agarwal,
Swarup Bhunia,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Kaushik Roy,
Xuanyao Fong,
Zubair Al Azim,
2014,
IEEE Electron Device Letters.
Kaushik Roy,
Cheng-Yi Chen,
Soonkeon Kwon,
2004,
Communications in Computing.
Kaushik Roy,
Tan-Li Chou,
1995,
ICCAD.
Kaushik Roy,
Arijit Raychowdhury,
K. Roy,
2007,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Mrigank Sharad,
Pedro P. Irazoqui,
2012,
JETC.
Kaushik Roy,
Abhronil Sengupta,
Aayush Ankit,
2018,
2018 IEEE International Workshop on Signal Processing Systems (SiPS).
Kaushik Roy,
Amit Agarwal,
Steven Hsu,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
S. C. Prasad,
K. Roy,
1993,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Amit Agarwal,
Kunhyuk Kang,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Kaushik Roy,
Abhijit Chatterjee,
R. K. Roy,
1997
.
Kaushik Roy,
Xiaoqing Wen,
Patrick Girard,
2008,
2008 Design, Automation and Test in Europe.
Kaushik Roy,
Jing Li,
Aditya Bansal,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2004,
17th International Conference on VLSI Design. Proceedings..
Kaushik Roy,
S. C. Prasad,
K. Roy,
1995,
Proceedings of the 8th International Conference on VLSI Design.
Kaushik Roy,
Cheng-Kok Koh,
Shiyou Zhao,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Rongtian Zhang,
David B. Janes,
2001,
ISLPED '01.
Kaushik Roy,
Georgios Panagopoulos,
Sumeet Kumar Gupta,
2012,
ISLPED '12.
Short-Term Plasticity and Long-Term Potentiation in Magnetic Tunnel Junctions: Towards Volatile Synapses
pdf
Kaushik Roy,
Abhronil Sengupta,
K. Roy,
2015,
ArXiv.
Kaushik Roy,
Shuhan Chen,
Abhronil Sengupta,
2017,
Scientific Reports.
Kaushik Roy,
Bipul Chandra Paul,
Kunhyuk Kang,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Mrigank Sharad,
Charles Augustine,
2012,
DAC Design Automation Conference 2012.
Yiran Chen,
Kaushik Roy,
Swarup Bhunia,
2003,
The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
Kaushik Roy,
Swarup Bhunia,
Saibal Mukhopadhyay,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Chris H. Kim,
Saibal Mukhopadhyay,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2005,
2005 International Conference on Computer Design.
Kaushik Roy,
Chaitali Chakrabarti,
Georgios Karakonstantis,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Priyadarshini Panda,
2018,
ArXiv.
An alternate design paradigm for low-power, low-cost, testable hybrid systems using scaled LTPS TFTs
Kaushik Roy,
Jing Li,
Swaroop Ghosh,
2008,
JETC.
Kaushik Roy,
Sumeet Kumar Gupta,
Sang Phill Park,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Abhijit Chatterjee,
Jacob A. Abraham,
1990,
IEEE International Symposium on Circuits and Systems.
Kaushik Roy,
Yi Zhou,
Timothy Sohn,
2018
.
Kaushik Roy,
Aditya Bansal,
Jayathi Murthy,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Khurram Muhammad,
1999,
Proceedings 12th International Symposium on System Synthesis.
Mark C. Johnson,
Kaushik Roy,
Mark Johnson,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Kaushik Roy,
Parami Wijesinghe,
Gopalakrishnan Srinivasan,
2016,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Sharat Prasad,
1993,
1993 European Conference on Design Automation with the European Event in ASIC Design.
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2011,
2011 Design, Automation & Test in Europe.
Kaushik Roy,
Hamid Mahmoodi,
2004,
2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
Kaushik Roy,
Swarup Bhunia,
Debjyoti Ghosh,
2004
.
Kaushik Roy,
Georgios Karakonstantis,
2007,
2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07.
Kaushik Roy,
Hunsoo Choo,
Khurram Muhammad,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Kaushik Roy,
Woopyo Jeong,
2003,
ASP-DAC '03.
Kaushik Roy,
Khurram Muhammad,
K. Muhammad,
2001,
IEEE Des. Test Comput..
Kaushik Roy,
Tan-Li Chou,
Zhanping Chen,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Kaushik Roy,
Sumeet Kumar Gupta,
2013,
IEEE Design & Test.
Kaushik Roy,
Swaroop Ghosh,
Saibal Mukhopadhyay,
2006,
2006 IEEE International SOC Conference.
Kaushik Roy,
Sudip Nag,
1994,
FPL.
Efficient Hybrid Network Architectures for Extremely Quantized Neural Networks Enabling Intelligence at the Edge
pdf
Kaushik Roy,
Indranil Chakraborty,
Deboleena Roy,
2019,
ArXiv.
Kaushik Roy,
Arijit Raychowdhury,
2004,
Proceedings. 34th International Symposium on Multiple-Valued Logic.
Kaushik Roy,
Dinesh Somasekhar,
1997,
Proceedings of 1997 International Symposium on Low Power Electronics and Design.
Kaushik Roy,
Elena I. Vatajelu,
Georgios Panagopoulos,
2010,
2010 15th IEEE European Test Symposium.
Kaushik Roy,
Xiaodong Zhang,
2001,
IOLTW.
Kaushik Roy,
Anand Raghunathan,
Xuanyao Fong,
2015,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Swarup Bhunia,
Debjyoti Ghosh,
2003,
Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.
Kaushik Roy,
Priyadarshini Panda,
Deboleena Roy,
2018,
ArXiv.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Bipul Chandra Paul,
Kunhyuk Kang,
2006,
TODE.
Kaushik Roy,
Karthik Yogendra,
Anand Raghunathan,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Hiroaki Suzuki,
Woopyo Jeong,
2003,
Proceedings 21st International Conference on Computer Design.
Yiran Chen,
Kaushik Roy,
Dongku Kang,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kaushik Roy,
Hunsoo Choo,
Khurram Muhammad,
2004,
IEEE Transactions on Signal Processing.
Kaushik Roy,
Sudip Nag,
1993,
30th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kaushik Roy,
Yonghee Im,
K. Roy,
2003,
IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2004,
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
Kaushik Roy,
Chamika M. Liyanagedera,
Abhronil Sengupta,
2017
.
Kaushik Roy,
Mrigank Sharad,
Xuanyao Fong,
2015,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Kaushik Roy,
Cheng-Kok Koh,
Shiyou Zhao,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Kaushik Roy,
Ashish Goel,
Jaydeep P. Kulkarni,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Kaushik Roy,
Swaroop Ghosh,
Swarup Bhunia,
2006
.
Kaushik Roy,
Edwin K. P. Chong,
Zhanping Chen,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Anand Raghunathan,
Rangharajan Venkatesan,
2016,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Saibal Mukhopadhyay,
Qikai Chen,
2007,
2007 IEEE Design and Diagnostics of Electronic Circuits and Systems.
Kaushik Roy,
Priyadarshini Panda,
Isha Garg,
2018,
IEEE Access.
Kaushik Roy,
Khurram Muhammad,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013,
ArXiv.
Kaushik Roy,
Anand Raghunathan,
Srimat T. Chakradhar,
2013,
TECS.
Kaushik Roy,
Arijit Raychowdhury,
K. Roy,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Yibin Ye,
2001
.
Kaushik Roy,
Hamid Mahmoodi,
K. Roy,
2004,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Mark M. Budnik,
M. Budnik,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Kaushik Roy,
Liqiong Wei,
Zhanping Chen,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Kunhyuk Kang,
Haldun Kufluoglu,
2006,
2006 International Conference on Computer Design.
Kaushik Roy,
Cheng-Kok Koh,
Aiqun Cao,
2001,
ISLPED '01.
Kaushik Roy,
Hendrawan Soeleman,
Dinesh Somasekhar,
1997
.
Kaushik Roy,
Seong-Ook Jung,
Myeong-Eun Hwang,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2014,
IEEE Transactions on Neural Networks and Learning Systems.
Kaushik Roy,
Jongsun Park,
Soonkeon Kwon,
2002,
2002 IEEE International Conference on Acoustics, Speech, and Signal Processing.
Kaushik Roy,
Sujit Dey,
Swaroop Ghosh,
2009,
2009 22nd International Conference on VLSI Design.
Kaushik Roy,
Anand Raghunathan,
Görschwin Fey,
2012,
2012 17th IEEE European Test Symposium (ETS).
Kaushik Roy,
Tan-Li Chou,
1996,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kaushik Roy,
Tan-Li Chou,
Zhanping Chen,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Ashish Goel,
Dongsoo Lee,
2011,
29th VLSI Test Symposium.
Kaushik Roy,
Hamid Mahmoodi,
K. Roy,
2004,
2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
Kaushik Roy,
Hamid Mahmoodi,
Matthew Cooke,
2003,
ISLPED '03.
Kaushik Roy,
Yusung Kim,
Kon-Woo Kwon,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Chuan-Yu Wang,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Cheng-Kok Koh,
Venkataramanan Balakrishnan,
2003,
DAC '03.
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Hendrawan Soeleman,
Chris Hyung-Il Kim,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Sujit Dey,
Kwang-Ting Cheng,
2000,
Proceedings - Design Automation Conference.
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2005,
Design, Automation and Test in Europe.
Kaushik Roy,
Sudip Nag,
1994,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Indranil Chakraborty,
Priyadarshini Panda,
2019,
IEEE Access.
Kaushik Roy,
Hunsoo Choo,
Dongku Kang,
2004,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Kaushik Roy,
Myeong-Eun Hwang,
Tamer Cakici,
2007,
DATE.
Kaushik Roy,
Bipul Chandra Paul,
Kunhyuk Kang,
2005,
Design, Automation and Test in Europe.
Kaushik Roy,
Dinesh Somasekhar,
Naran Sirisantana,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Alex Orailoglu,
Clifford Lau,
2007,
IEEE Trans. Circuits Syst. I Regul. Pap..
Kaushik Roy,
Aurobindo Dasgupta,
Byung Guk Kim,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Kaushik Roy,
Tan-Li Chou,
Hendrawan Soeleman,
2000,
IEEE Des. Test Comput..
Kaushik Roy,
Anand Raghunathan,
Sachin S. Sapatnekar,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Dongsoo Lee,
2012,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Rongtian Zhang,
D. B. Janes,
1999,
1999 IEEE International SOI Conference. Proceedings (Cat. No.99CH36345).
Kaushik Roy,
Khurram Muhammad,
K. Roy,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2012,
DAC Design Automation Conference 2012.
Kaushik Roy,
Mrigank Sharad,
Deliang Fan,
2013,
International Symposium on Quality Electronic Design (ISQED).
Kaushik Roy,
Chao Lu,
Vijay Raghunathan,
2011,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Kaushik Roy,
Srikar Bhagavatula,
Byunghoo Jung,
2012,
2012 13th Latin American Test Workshop (LATW).
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Sudip Nag,
1995,
IEEE Trans. Computers.
Kaushik Roy,
Yibin Ye,
Dinesh Somasekhar,
1995,
1995 IEEE Symposium on Low Power Electronics. Digest of Technical Papers.
Kaushik Roy,
Georges G. E. Gielen,
Ivo Bolsens,
2014,
ASP-DAC.
Kaushik Roy,
Priyadarshini Panda,
Abhronil Sengupta,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2018,
ACM J. Emerg. Technol. Comput. Syst..
Kaushik Roy,
Himanshu Markandeya,
K. Roy,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kaushik Roy,
Bing Han,
Abhronil Sengupta,
2016,
2016 IEEE Biomedical Circuits and Systems Conference (BioCAS).
Kaushik Roy,
Jing Li,
Charles Augustine,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Amit Agarwal,
Patrick Ndai,
2008,
IEEE Transactions on Computers.
Kaushik Roy,
Debashis Bhattacharya,
Naushik Sankarayya,
1997,
Proceedings Tenth International Conference on VLSI Design.
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Kaushik Roy,
Cheng-Kok Koh,
Rongtian Zhang,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Simulation studies of an All-Spin Artificial Neural Network: Emulating neural and synaptic functionalities through domain wall motion in ferromagnets
pdf
Kaushik Roy,
Abhronil Sengupta,
Yong Shim,
2015,
ArXiv.
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2009,
IEEE Journal of Solid-State Circuits.
Kaushik Roy,
Amit Agarwal,
Patrick Ndai,
2005,
2005 International Conference on Computer Design.
Kaushik Roy,
Jason M. Allred,
K. Roy,
2017,
2017 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
2009,
ISLPED.
Kaushik Roy,
Kevin T. Kornegay,
1995,
Proceedings of 1995 IEEE International Test Conference (ITC).
Kaushik Roy,
Hamid Mahmoodi,
Matthew Cooke,
2009,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Substitute-and-simplify: A unified design paradigm for approximate and quality configurable circuits
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Swarup Bhunia,
Debjyoti Ghosh,
2004,
Proceedings. 10th IEEE International On-Line Testing Symposium.
Kaushik Roy,
Lukás Sekanina,
Zdenek Vasícek,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Kaushik Roy,
Anand Raghunathan,
Priyadarshini Panda,
2016,
2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).
Kaushik Roy,
Sang Phill Park,
Kunhyuk Kang,
2009,
IEEE Design & Test of Computers.
Kaushik Roy,
Cheng-Kok Koh,
Aiqun Cao,
2002,
Proceedings International Symposium on Quality Electronic Design.
Kaushik Roy,
1993,
1993 IEEE International Symposium on Circuits and Systems.
Kaushik Roy,
Swaroop Ghosh,
Georgios Karakonstantis,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Mrigank Sharad,
Charles Augustine,
2012,
2012 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
Kaushik Roy,
Jongsun Park,
Jung Hwan Choi,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Aditya Bansal,
K. Roy,
2005,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Khurram Muhammad,
Yongtao Wang,
2005,
Proceedings. (ICASSP '05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005..
Kaushik Roy,
Christos A. Papachristou,
Francis G. Wolff,
2013,
IEEE Transactions on Computers.
Kaushik Roy,
Swarup Bhunia,
K. Roy,
2008,
2008 IEEE International SOC Conference.
Kaushik Roy,
Jacob A. Abraham,
1989,
26th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Cheng-Kok Koh,
Rongtian Zhang,
2001,
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
Kaushik Roy,
Mahesh Mehendale,
1993,
The Sixth International Conference on VLSI Design.
Kaushik Roy,
Edwin K. P. Chong,
Zhanping Chen,
1998,
ICCAD.
Kaushik Roy,
Jayathi Murthy,
Jung Hwan Choi,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
A Priority-Based 6T/8T Hybrid SRAM Architecture for Aggressive Voltage Scaling in Video Applications
Kaushik Roy,
Debabrata Mohapatra,
Ik Joon Chang,
2011,
IEEE Transactions on Circuits and Systems for Video Technology.
Chip-Hong Chang,
Kaushik Roy,
Xuanyao Fong,
2015,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Yonghee Im,
2003,
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
Kaushik Roy,
Jaydeep P. Kulkarni,
Mesut Meterelliyoz,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2016,
IEEE Transactions on Computers.
Encoding Neural and Synaptic Functionalities in Electron Spin: A Pathway to Efficient Neuromorphic Computing
pdf
Kaushik Roy,
Abhronil Sengupta,
K. Roy,
2017,
ArXiv.
Kaushik Roy,
Santanu Dutta,
Sudip Nag,
1993,
Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.
Design and synthesis of low power weighted random pattern generator considering peak power reduction
Kaushik Roy,
Xiaodong Zhang,
1999,
Proceedings 1999 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (EFT'99).
Kaushik Roy,
Chris H. Kim,
2002,
ISLPED '02.
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Kaushik Roy,
Vivek De,
Liqiong Wei,
1999,
DAC '99.
Kaushik Roy,
Animesh Datta,
Swarup Bhunia,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Khurram Muhammad,
Cassondra Neau,
2001,
Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
Kaushik Roy,
Swarup Bhunia,
Hamid Mahmoodi,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Mrigank Sharad,
K. Roy,
2013,
IEEE Electron Device Letters.
Kaushik Roy,
Bipul C. Paul,
Hendrawan Soeleman,
2001
.
Kaushik Roy,
Shiyou Zhao,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Kaushik Roy,
Sudipta Bhawmik,
Xiaodong Zhang,
1999,
Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).
Kaushik Roy,
Chris H. Kim,
Saibal Mukhopadhyay,
2003,
ISLPED '03.
Kaushik Roy,
Priyadarshini Panda,
Syed Shakib Sarwar,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Sang Phill Park,
Kunhyuk Kang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Kaushik Roy,
Abhronil Sengupta,
Robert Wang,
2018,
Front. Neurosci..
Kaushik Roy,
Zhanping Chen,
K. Roy,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Kaushik Roy,
R. Krishnammthy,
2001,
Tutorial Guide. ISCAS 2001. IEEE International Symposium on Circuits and Systems (Cat. No.01TH8573).
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2003,
ISLPED '03.
Kaushik Roy,
Cheng-Kok Koh,
Sung-Mo Steve Kang,
2001,
ACM Great Lakes Symposium on VLSI.
Kaushik Roy,
Arijit Raychowdhury,
Sumeet Kumar Gupta,
2010,
Proceedings of the IEEE.
Kaushik Roy,
Jongsun Park,
Jung Hwan Choi,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Kaushik Roy,
Jongsun Park,
Soonkeon Kwon,
2002,
ICCSC'02. 1st IEEE International Conference on Circuits and Systems for Communications. Proceedings (IEEE Cat. No.02EX605).
Kaushik Roy,
Kunhyuk Kang,
Muhammad Ashraful Alam,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Kaushik Roy,
Abhijit Chatterjee,
Georgios Karakonstantis,
2011,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Aditya Bansal,
Hari Ananthan,
2004,
International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
Mark C. Johnson,
Kaushik Roy,
Lih-Yih Chiou,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Vaibhav Gupta,
Georgios Karakonstantis,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Kaushik Roy,
Amit Agarwal,
Saibal Mukhopadhyay,
2006,
IEEE Custom Integrated Circuits Conference 2006.
Kaushik Roy,
Anand Raghunathan,
A. Raghunathan,
2015,
2015 IEEE Computer Society Annual Symposium on VLSI.
Kaushik Roy,
Mark M. Budnik,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Kaushik Roy,
Hamid Mahmoodi,
Saibal Mukhopadhyay,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Kaushik Roy,
2009,
2009 IEEE International SOC Conference (SOCC).
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Ashish Goel,
Animesh Datta,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Hamid Mahmoodi,
Keejong Kim,
2008,
IEEE Journal of Solid-State Circuits.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Dongsoo Lee,
Sang Phill Park,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
J. A. Abraham,
M. E. Levitt,
1992,
[1992] Proceedings The European Conference on Design Automation.
Kaushik Roy,
Karthik Yogendra,
Minsuk Koo,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Kaushik Roy,
Franco Stellari,
Peilin Song,
2010,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Mesut Meterelliyoz,
2009,
2009 Asia and South Pacific Design Automation Conference.
Kaushik Roy,
Patrick Ndai,
Shih-Lien Lu,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Kaushik Roy,
Mesut Meterelliyoz,
Qikai Chen,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Kaushik Roy,
Abhronil Sengupta,
K. Roy,
2015,
2015 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Sharat Prasad,
K. Roy,
2000
.
Kaushik Roy,
Karthik Yogendra,
Minsuk Koo,
2017,
2017 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
Kaushik Roy,
Georgios Karakonstantis,
Debabrata Mohapatra,
2009,
2009 IEEE Workshop on Signal Processing Systems.
Kaushik Roy,
Chris H. Kim,
Hari Ananthan,
2004,
ISLPED '04.
Kaushik Roy,
Vaibhav Gupta,
Georgios Karakonstantis,
2010,
2010 IEEE International Conference on Computer Design.
Kaushik Roy,
Jaydeep P. Kulkarni,
K. Roy,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Kaushik Roy,
Jaydeep P. Kulkarni,
2006
.
Kaushik Roy,
Xuanyao Fong,
Zoha Pajouhi,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Khurram Muhammad,
Yongtao Wang,
2007,
IEEE Transactions on Signal Processing.
Multiplier-less Artificial Neurons exploiting error resiliency for energy-efficient neural computing
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2016,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Georgios Karakonstantis,
Nilanjan Banerjee,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Swaroop Ghosh,
Patrick Ndai,
2007,
13th IEEE International On-Line Testing Symposium (IOLTS 2007).
Kaushik Roy,
Amit Agarwal,
Bipul Chandra Paul,
2004,
Proceedings. 10th IEEE International On-Line Testing Symposium.
Kaushik Roy,
Karthik Yogendra,
Chamika M. Liyanagedera,
2016,
2016 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Jaydeep P. Kulkarni,
Keejong Kim,
2007
.
Kaushik Roy,
Patrick Ndai,
Ashish Goel,
2009,
2009 IEEE Custom Integrated Circuits Conference.
Kaushik Roy,
Anand Raghunathan,
Swagath Venkataramani,
2013,
2013 Asilomar Conference on Signals, Systems and Computers.
Kaushik Roy,
Hendrawan Soeleman,
2000,
ACM Great Lakes Symposium on VLSI.
Kaushik Roy,
Jongsun Park,
2005
.
Kaushik Roy,
Hunsoo Choo,
Khurram Muhammad,
2001,
2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.01CH37221).
Kaushik Roy,
2013,
DDECS.
Kaushik Roy,
Khurram Muhammad,
Jongsun Park,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Kaushik Roy,
Nilanjan Banerjee,
2007,
J. Low Power Electron..
Kaushik Roy,
Abhijit Chatterjee,
Rabindra K. Roy,
1994
.
Kaushik Roy,
Pedro P. Irazoqui,
Himanshu Markandeya,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kaushik Roy,
Anand Raghunathan,
Debabrata Mohapatra,
2013,
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.
Kaushik Roy,
Z.-P. Chen,
T.-L. Chou,
1997,
Proceedings of CICC 97 - Custom Integrated Circuits Conference.
Kaushik Roy,
Swarup Bhunia,
Arijit Raychowdhury,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Fusion-FlowNet: Energy-Efficient Optical Flow Estimation using Sensor Fusion and Deep Fused Spiking-Analog Network Architectures
pdf
Kaushik Roy,
Chankyu Lee,
Adarsh Kumar Kosta,
2021,
2022 International Conference on Robotics and Automation (ICRA).
Kaushik Roy,
Wenqin Huangfu,
Hussam Amrouch,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Kaushik Roy,
Sayeed Shafayet Chowdhury,
Nitin Rathi,
2021,
ArXiv.
Kaushik Roy,
Gobinda Saha,
Isha Garg,
2021,
ICLR.
Catherine D. Schuman,
Steven R. Young,
Kaushik Roy,
2021,
ICONS.
Kaushik Roy,
Efstathia Soufleri,
2021,
IEEE Access.
Kaushik Roy,
Amogh Agrawal,
Eunseon Yu,
2021,
Frontiers in Neuroscience.
Kaushik Roy,
Ian Taylor,
Abbas Rahimi,
2021,
Defense + Commercial Sensing.
Kaushik Roy,
Deboleena Roy,
Timur Ibrayev,
2021,
2021 58th ACM/IEEE Design Automation Conference (DAC).
NAX: Co-Designing Neural Network and Hardware Architecture for Memristive Xbar based Computing Systems
pdf
Kaushik Roy,
Shubham Negi,
Aayush Ankit,
2021,
ArXiv.
Kaushik Roy,
Minsuk Koo,
Akhilesh Jaiswal,
2021,
IEEE Solid-State Circuits Letters.
Kaushik Roy,
Sayeed Shafayet Chowdhury,
Isha Garg,
2021,
2021 International Joint Conference on Neural Networks (IJCNN).
Kaushik Roy,
Amogh Agrawal,
Nitin Rathi,
2021,
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Amogh Agrawal,
Tanvi Sharma,
2021,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Priyadarshini Panda,
2021,
Neural Networks.
Kaushik Roy,
Deboleena Roy,
Amogh Agrawal,
2021,
IEEE Design & Test.
Oscillatory Fourier Neural Network: A Compact and Efficient Architecture for Sequential Processing
pdf
Kaushik Roy,
Bing Han,
Cheng Wang,
2021,
AAAI.
Kaushik Roy,
Lei Deng,
Huajin Tang,
2021,
Frontiers in Computational Neuroscience.
Enabling Robust SOT-MTJ Crossbars for Machine Learning using Sparsity-Aware Device-Circuit Co-design
Kaushik Roy,
Amogh Agrawal,
Tanvi Sharma,
2021,
2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Wachirawit Ponghiran,
K. Roy,
2021,
AAAI.
Dejan S. Milojicic,
Kaushik Roy,
Paolo Faraboschi,
2021,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
Kaushik Roy,
Zhanping Chen,
1997
.
Kaushik Roy,
Sri Harsha Choday,
S. H. Choday,
2014,
IEEE Electron Device Letters.
Kaushik Roy,
Mark S. Lundstrom,
Sri Harsha Choday,
2013,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Kaushik Roy,
Akhilesh Jaiswal,
Indranil Chakraborty,
2017,
2017 75th Annual Device Research Conference (DRC).
Kaushik Roy,
Charles Augustine,
Arijit Raychowdhury,
2007
.
Kaushik Roy,
Mrigank Sharad,
K. Roy,
2014
.
Kaushik Roy,
Yuchao Yang,
Alberto Salleo,
2020,
Nanotechnology.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013
.
Kaushik Roy,
Aditya Bansal,
K. Roy,
2005
.
Kaushik Roy,
Swarup Bhunia,
.
Kaushik Roy,
Anand Raghunathan,
Xuanyao Fong,
2014,
IEEE Transactions on Magnetics.
Kaushik Roy,
Niladri N. Mojumder,
Sumeet K. Gupta,
2011,
69th Device Research Conference.
Kaushik Roy,
Khurram Muhammad,
K. Muhammad,
1999
.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2003,
2003 Third IEEE Conference on Nanotechnology, 2003. IEEE-NANO 2003..
Kaushik Roy,
Gobinda Saha,
Hua Zhou,
2019,
Nature Communications.
Kaushik Roy,
Meng-Hsueh Chiang,
Aditya Bansal,
2009,
2009 Device Research Conference.
Kaushik Roy,
Abhronil Sengupta,
K. Roy,
2018
.
Kaushik Roy,
Yeongkyo Seo,
Kon-Woo Kwon,
2016,
IEEE Electron Device Letters.
Kaushik Roy,
Xuanyao Fong,
Charles Augustine,
2013
.
Kaushik Roy,
Chih-Hsiang Ho,
Soo Youn Kim,
2015,
IEEE Transactions on Nanotechnology.
Kaushik Roy,
Tan-Li Chou,
1995
.
Kaushik Roy,
Chih-Hsiang Ho,
Soo Young Kim,
2014,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Xuanyao Fong,
Mei-Chin Chen,
2014,
72nd Device Research Conference.
Kaushik Roy,
Michael Niemier,
Sachin S. Sapatnekar,
2017
.
Kaushik Roy,
Xuanyao Fong,
Ahmed Kamal Reza,
2016,
IEEE Transactions on Electron Devices.
Kaushik Roy,
C.-Y. Wang,
T.-L. Chou,
1996,
1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.
Kaushik Roy,
Chuan-Yu Wang,
1997,
ICCAD 1997.
Mark C. Johnson,
Kaushik Roy,
1997
.
Kaushik Roy,
Anand Raghunathan,
Rangharajan Venkatesan,
2016,
IEEE Transactions on Electron Devices.
Kaushik Roy,
K. Roy,
Ankit Sharma,
2017,
IEEE Electron Device Letters.
Kaushik Roy,
Khurram Muhammad,
1999
.
Kaushik Roy,
Chih-Hsiang Ho,
Mohammad Khaled Hassan,
2014,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Chih-Hsiang Ho,
Soo Youn Kim,
2014,
IEEE Electron Device Letters.
Kaushik Roy,
Xuanyao Fong,
Charles Augustine,
2014
.
Kaushik Roy,
Saibal Mukhopadhyay,
Arijit Raychowdhury,
2003,
ICCAD 2003.
Kaushik Roy,
Abhronil Sengupta,
Akhilesh Jaiswal,
2016,
2016 74th Annual Device Research Conference (DRC).
Kaushik Roy,
Cheng-Kok Koh,
Rongtian Zhang,
2001
.
Kaushik Roy,
Chih-Hsiang Ho,
Georgios D. Panagopoulos,
2013,
71st Device Research Conference.
Kaushik Roy,
Mrigank Sharad,
Xuanyao Fong,
2013,
2013 IEEE International Electron Devices Meeting.
Kaushik Roy,
A. Arun Goud,
Ankit Sharma,
2015,
2015 73rd Annual Device Research Conference (DRC).
Kaushik Roy,
Sri Harsha Choday,
A. Arun Goud,
2013,
71st Device Research Conference.
Kaushik Roy,
D. Bhattacharya,
N. Sankarayya,
1997,
ICCAD 1997.
Kaushik Roy,
Akhilesh Jaiswal,
Saima Sharmin,
2016,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Karthik Yogendra,
Mrigank Sharad,
2013
.
Kaushik Roy,
Anand Raghunathan,
Mrigank Sharad,
2013,
71st Device Research Conference.
Kaushik Roy,
Robert Andrawis,
Akhilesh Jaiswal,
2018,
IEEE Magnetics Letters.
Kaushik Roy,
Karthik Yogendra,
Byunghoo Jung,
2016,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Karthik Yogendra,
Yusung Kim,
2015,
IEEE Magnetics Letters.
Kaushik Roy,
Xuanyao Fong,
Yusung Kim,
2015,
IEEE Magnetics Letters.
Kaushik Roy,
K. Roy,
Ankit Sharma,
2014,
IEEE Electron Device Letters.
Kaushik Roy,
Liqiong Wei,
1998
.
Kaushik Roy,
Yu Cao,
Devyani Patra,
2017,
2017 IEEE International Reliability Physics Symposium (IRPS).
Kaushik Roy,
Woo-Suhl Cho,
Sumeet Kumar Gupta,
2014,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Arijit Raychowdhury,
Sumeet Kumar Gupta,
2009
.
Kaushik Roy,
Charles Augustine,
Dmitri E. Nikonov,
2010,
68th Device Research Conference.
Kaushik Roy,
Soo Youn Kim,
K. Roy,
2014,
Journal of Display Technology.
Kaushik Roy,
Sri Harsha Choday,
S. H. Choday,
2013
.
Kaushik Roy,
Karthik Yogendra,
Deliang Fan,
2015,
IEEE Transactions on Magnetics.
Kaushik Roy,
Yibin Ye,
1996
.
Kaushik Roy,
Jing Li,
Aditya Bansal,
2006,
2006 64th Device Research Conference.
Yiran Chen,
Kaushik Roy,
Hai Li,
2005
.
Kaushik Roy,
Chih-Hsiang Ho,
K. Roy,
2012,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Jayathi Y. Murthy,
Jung Hwan Choi,
2007,
ICCAD 2007.