Cheng-Kok Koh

发表

Cheng-Kok Koh, Ngai Wong, Venkataramanan Balakrishnan, 2004, Proceedings. 41st Design Automation Conference, 2004..

Cheng-Kok Koh, Shuai Li, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Cheng-Kok Koh, Ganesh Subbarayan, Anirudh Udupa, 2013, Microelectron. Reliab..

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Cheng-Kok Koh, Jiang Hu, 2013, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jason Cong, Cheng-Kok Koh, Min Xie, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Kaushik Roy, Cheng-Kok Koh, Rongtian Zhang, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Jason Cong, Cheng-Kok Koh, Kwok-Shing Leung, 1996 .

Jason Cong, Cheng-Kok Koh, Patrick H. Madden, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yiran Chen, Cheng-Kok Koh, Venkataramanan Balakrishnan, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Cheng-Kok Koh, Duo Chen, Jongwon Lee, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Rickard Ewetz, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Cheng-Kok Koh, Aiqun Cao, 2003, Proceedings 21st International Conference on Computer Design.

Cheng-Kok Koh, Rickard Ewetz, Chuan Yean Tan, 2016, ISPD.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Stephen Cauley, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jason Cong, Cheng-Kok Koh, Lei He, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Cheng-Kok Koh, Chen Li, Aiqun Cao, 2006, TODE.

Jason Cong, Cheng-Kok Koh, Andrew B. Kahng, 1995, ICCAD.

Cheng-Kok Koh, Ting-Chi Wang, Wen-Hao Liu, 2014, GLSVLSI '14.

Cheng-Kok Koh, Kaushik Roy, Liqiong Wei, 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

Michael Gasser, Cheng-Kok Koh, Yung-Hsiang Lu, 2010, 2010 IEEE Frontiers in Education Conference (FIE).

Kaushik Roy, Cheng-Kok Koh, Aiqun Cao, 2003, ASP-DAC '03.

Cheng-Kok Koh, Ruibing Lu, Cheng-Kok Koh, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Jason Cong, Cheng-Kok Koh, Kwok-Shing Leung, 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

Cheng-Kok Koh, Ting-Chi Wang, Wen-Hao Liu, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Jason Cong, Cheng-Kok Koh, Kwok-Shing Leung, 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

Kaushik Roy, Cheng-Kok Koh, Rui Wang, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

Cheng-Kok Koh, Rickard Ewetz, Shankarshana Janarthanan, 2015, DAC.

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2011, ISLPED '11.

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Jason Cong, Cheng-Kok Koh, Lei He, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Cheng-Kok Koh, Ngai Wong, Yuanzhe Wang, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Cheng-Kok Koh, Chen Li, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2009, 2009 IEEE International Conference on Computer Design.

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Cheng-Kok Koh, Probir Sarkar, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Tung-Sang Ng, Cheng-Kok Koh, Ngai Wong, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2009, TACO.

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2007, 2007 25th International Conference on Computer Design.

Cheng-Kok Koh, Yongxin Zhu, Weng-Fai Wong, 2005, The Fifth International Conference on Computer and Information Technology (CIT'05).

Cheng-Kok Koh, C. S. George Lee, C. S. G. Lee, 2015, 2015 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Cheng-Kok Koh, Kuang-Yao Lee, Ting-Chi Wang, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Aiqun Cao, Ruibing Lu, 2003, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jason Cong, Cheng-Kok Koh, 1994, IEEE Trans. Very Large Scale Integr. Syst..

Cheng-Kok Koh, Venkataramanan Balakrishnan, Kalliopi Tsota, 2011 .

Cheng-Kok Koh, Patrick H. Madden, Cheng-Kok Koh, 2000, ACM Great Lakes Symposium on VLSI.

Kaushik Roy, Cheng-Kok Koh, Guoan Zhong, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Kaushik Roy, Cheng-Kok Koh, Guoan Zhong, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Cheng-Kok Koh, C. S. George Lee, Kai-Chi Chan, 2014, 2014 IEEE International Conference on Robotics and Automation (ICRA).

Cheng-Kok Koh, Duo Chen, Dan Jiao, 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Cheng-Kok Koh, Chung-Wen Albert Tsao, 2000, TODE.

Cheng-Kok Koh, Hong Li, Venkataramanan Balakrishnan, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Kaushik Roy, Cheng-Kok Koh, Guoan Zhong, 2002, ICCAD 2002.

Cheng-Kok Koh, Kaushik Roy, Rongtian Zhang, 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

Cheng-Kok Koh, Rickard Ewetz, Cheng-Kok Koh, 2015, ISPD.

Cheng-Kok Koh, Chung-Wen Albert Tsao, Cheng-Kok Koh, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Cheng-Kok Koh, Ngai Wong, Guoyong Shi, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jason Cong, Cheng-Kok Koh, J. Cong, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Cheng-Kok Koh, Shuai Li, 2014, ISPD '14.

Cheng-Kok Koh, Jongwon Lee, Dan Jiao, 2008, 2008 IEEE Antennas and Propagation Society International Symposium.

Cheng-Kok Koh, Y. Charlie Hu, Venkataramanan Balakrishnan, 2011, TODE.

Cheng-Kok Koh, Rickard Ewetz, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Jiang Hu, 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jason Cong, Cheng-Kok Koh, Lei He, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Jason Cong, Cheng-Kok Koh, Lei He, 1996, Integr..

Cheng-Kok Koh, Venkataramanan Balakrishnan, Stephen F. Cauley, 2009 .

Cheng-Kok Koh, R. Lu, A. Cao, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Jason Cong, Cheng-Kok Koh, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Yiran Chen, Cheng-Kok Koh, Kaushik Roy, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Evangeline F. Y. Young, Cheng-Kok Koh, Yao-Wen Chang, 2008, Handbook of Algorithms for Physical Design Automation.

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Cheng-Kok Koh, Kaushik Roy, Sung-Mo Kang, 2000 .

Cheng-Kok Koh, Probir Sarkar, Vivek Sundararaman, 2000, ISPD '00.

Cheng-Kok Koh, Chen Li, Patrick H. Madden, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Hai Li, Kaushik Roy, 2009, IEEE Trans. Very Large Scale Integr. Syst..

Cheng-Kok Koh, Yih-Lang Li, Wen-Hao Liu, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Cheng-Kok Koh, Hong Li, Venkataramanan Balakrishnan, 2005, ASP-DAC.

Cheng-Kok Koh, Aiqun Cao, Ruibing Lu, 2007, IEEE Trans. Very Large Scale Integr. Syst..

Cheng-Kok Koh, Venkataramanan Balakrishnan, Kalliopi Tsota, 2008, ICCAD 2008.

Kaushik Roy, Cheng-Kok Koh, Guoan Zhong, 2003, ASP-DAC '03.

Cheng-Kok Koh, Aiqun Cao, Ruibing Lu, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Cheng-Kok Koh, Venkataramanan Balakrishnan, Jitesh Jain, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Cheng-Kok Koh, Rickard Ewetz, Shankarshana Janarthanan, 2015 .

Aleksandar Kuzmanovic, Cheng-Kok Koh, Y. Charlie Hu, 2010, 2010 IEEE/WIC/ACM International Conference on Web Intelligence and Intelligent Agent Technology.

Cheng-Kok Koh, Chen Li, Patrick H. Madden, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Cheng-Kok Koh, Rickard Ewetz, 2013, ISPD '13.

Yiran Chen, Cheng-Kok Koh, Hong Li, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Cheng-Kok Koh, Rickard Ewetz, Cheng-Kok Koh, 2017, Integr..

Kaushik Roy, Cheng-Kok Koh, Aiqun Cao, 2005, TODE.

Cheng-Kok Koh, Jitesh Jain, Stephen F. Cauley, 2009 .

Jason Cong, Cheng-Kok Koh, J. Cong, 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

Cheng-Kok Koh, Shashank Bujimalla, 2011, ISPD '11.

Yiran Chen, Cheng-Kok Koh, Hai Li, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Jitesh Jain, 2006, IEEE Trans. Circuits Syst. II Express Briefs.

Cheng-Kok Koh, Sung Kyu Lim, Jacob R. Minz, 2005, GLSVLSI '05.

Cheng-Kok Koh, Ruibing Lu, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Cheng-Kok Koh, C. S. George Lee, C. S. G. Lee, 2013, 2013 IEEE/RSJ International Conference on Intelligent Robots and Systems.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Jitesh Jain, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2001, ISPD '01.

Kaushik Roy, Cheng-Kok Koh, Guoan Zhong, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Cheng-Kok Koh, Ming Zhang, Hongqiang Harry Liu, 2015, USENIX Annual Technical Conference.

Kaushik Roy, Cheng-Kok Koh, Dinesh Somasekhar, 2000, Proceedings of the 26th European Solid-State Circuits Conference.

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2000, Proceedings 2000 International Conference on Computer Design.

Cheng-Kok Koh, Kuang-Yao Lee, Ting-Chi Wang, 2008, ISPD '08.

Cheng-Kok Koh, Dan Jiao, Wenwen Chai, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Cheng-Kok Koh, Guoan Zhong, Cheng-Kok Koh, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Cheng-Kok Koh, Y. Charlie Hu, Rohan Gandhi, 2017, CoNEXT.

Cheng-Kok Koh, Yung-Hsiang Lu, Jibang Liu, 2010 .

Cheng-Kok Koh, C. S. George Lee, C. S. G. Lee, 2016, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Cheng-Kok Koh, Rickard Ewetz, Chuan Yean Tan, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Cheng-Kok Koh, Wai-Ching Douglas Lam, W.-C.D. Lam, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Cheng-Kok Koh, Wai-Ching Douglas Lam, 2005, ASP-DAC.

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Cheng-Kok Koh, Rickard Ewetz, Cheng-Kok Koh, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Yung-Hsiang Lu, Guangwei Zhu, 2010 .

Cheng-Kok Koh, Venkataramanan Balakrishnan, Kalliopi Tsota, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Cheng-Kok Koh, Tong Gao, 2001, ICCAD 2001.

Tung-Sang Ng, Cheng-Kok Koh, Ngai Wong, 2004, 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.

Cheng-Kok Koh, Jongwon Lee, Dan Jiao, 2009, 2009 IEEE MTT-S International Microwave Symposium Digest.

Cheng-Kok Koh, C. S. George Lee, Kai-Chi Chan, 2013, 2013 IEEE International Conference on Robotics and Biomimetics (ROBIO).

Cheng-Kok Koh, Venkataramanan Balakrishnan, Ya-Chi Yang, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Cheng-Kok Koh, Edmund Y. Lam, Ngai Wong, 2011, Int. J. Circuit Theory Appl..

Cheng-Kok Koh, Venkataramanan Balakrishnan, Gerhard Klimeck, 2012, J. Comput. Phys..

Cheng-Kok Koh, Jongwon Lee, Dan Jiao, 2010, 2010 IEEE Antennas and Propagation Society International Symposium.

Cheng-Kok Koh, Hong Li, Guoan Zhong, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Cheng-Kok Koh, Venkataramanan Balakrishnan, Jitesh Jain, 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.

Jason Cong, Cheng-Kok Koh, Min Xie, 2004, ICCAD.

Cheng-Kok Koh, Hong Li, Venkataramanan Balakrishnan, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Jason Cong, Cheng-Kok Koh, Kwok-Shing Leung, 1994 .

Cheng-Kok Koh, Probir Sarkar, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Cheng-Kok Koh, Hong Li, Venkataramanan Balakrishnan, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Q. Su, 2002, DAC '02.

Cheng-Kok Koh, C. S. George Lee, Kai-Chi Chan, 2013, 2013 IEEE International Conference on Robotics and Automation.

Cheng-Kok Koh, Kuang-Yao Lee, Shing-Tung Lin, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Jason Cong, Cheng-Kok Koh, 1998 .

Cheng-Kok Koh, Rickard Ewetz, Cheng-Kok Koh, 2017, ISPD.

Cheng-Kok Koh, Ruibing Lu, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Cheng-Kok Koh, Rickard Ewetz, Shankarshana Janarthanan, 2015, The 20th Asia and South Pacific Design Automation Conference.

Kaushik Roy, Cheng-Kok Koh, Venkataramanan Balakrishnan, 2003, DAC '03.

Cheng-Kok Koh, Jiun-Lang Huang, Stephen F. Cauley, 2009 .

Cheng-Kok Koh, Venkataramanan Balakrishnan, Kalliopi Tsota, 2012, ISPD '12.

Cheng-Kok Koh, Rickard Ewetz, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Cheng-Kok Koh, Kai-Yuan Chao, Guoan Zhong, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Jitesh Jain, 2004, ICCAD 2004.

Cheng-Kok Koh, Wai-Ching Douglas Lam, Chung-Wen Albert Tsao, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Yiran Chen, Cheng-Kok Koh, Jing Li, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Jason Cong, Cheng-Kok Koh, Min Xie, 2004, ICCAD 2004.

Kaushik Roy, Cheng-Kok Koh, Rongtian Zhang, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Aleksandar Kuzmanovic, Cheng-Kok Koh, Y. Charlie Hu, 2014, TWEB.

Cheng-Kok Koh, Shuai Li, 2012, ISPD '12.

Cheng-Kok Koh, Chen Li, P.H. Madden, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Kaushik Roy, Cheng-Kok Koh, Aiqun Cao, 2002, Proceedings International Symposium on Quality Electronic Design.

Cheng-Kok Koh, Chen Li, Patrick H. Madden, 2004, ISPD '04.

Kaushik Roy, Cheng-Kok Koh, Rongtian Zhang, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Cheng-Kok Koh, Kuang-Yao Lee, Ting-Chi Wang, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Q. Su, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Cheng-Kok Koh, William J. Chappell, Byunghoo Jung, 2006, IEEE Custom Integrated Circuits Conference 2006.

Jason Cong, Cheng-Kok Koh, Lei He, 1997 .

Kaushik Roy, Cheng-Kok Koh, Sung-Mo Steve Kang, 2001, ACM Great Lakes Symposium on VLSI.

Cheng-Kok Koh, Ruilin Wang, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Cheng-Kok Koh, Woopyo Jeong, K. Roy, 2001, Proceedings of the 27th European Solid-State Circuits Conference.

Cheng-Kok Koh, Venkataramanan Balakrishnan, Kalliopi Tsota, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Cheng-Kok Koh, Cliff C. N. Sze, 2014, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Cheng-Kok Koh, Aiqun Cao, 2004, Proceedings. 41st Design Automation Conference, 2004..

Cheng-Kok Koh, Wai-Ching Douglas Lam, Chung-Wen Albert Tsao, 2002, Proceedings International Symposium on Quality Electronic Design.

Cheng-Kok Koh, Ganesh Subbarayan, Anirudh Udupa, 2014, GLSVLSI '14.

Jason Cong, Cheng-Kok Koh, Andrew B. Kahng, 1998, TODE.

Cheng-Kok Koh, Yih-Lang Li, Wen-Hao Liu, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Cheng-Kok Koh, Ruibing Lu, Cheng-Kok Koh, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, C. S. George Lee, C. S. G. Lee, 2014, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Cheng-Kok Koh, Patrick H. Madden, Cheng-Kok Koh, 2005, ASP-DAC '05.

Cheng-Kok Koh, Hong Li, Venkataramanan Balakrishnan, 2006, ICCAD.

Cheng-Kok Koh, Aiqun Cao, Ruibing Lu, 2005, ASP-DAC.

Cheng-Kok Koh, Supriyo Maji, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cheng-Kok Koh, Ruibing Lu, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Cheng-Kok Koh, Venkataramanan Balakrishnan, Stephen F. Cauley, 2007 .

Cheng-Kok Koh, Venkataramanan Balakrishnan, Stephen F. Cauley, 2007 .

Cheng-Kok Koh, Dan Jiao, V. Balakrishnan, 2009, IEEE Transactions on Microwave Theory and Techniques.

Cheng-Kok Koh, Kai-Chi Chan, C. S. George Lee, 2013 .

Cheng-Kok Koh, Venkataramanan Balakrishnan, Qing Su, 2002 .

Cheng-Kok Koh, Dan Jiao, V. Balakrishnan, 2009, IEEE Transactions on Microwave Theory and Techniques.