Seokhyeong Kang

发表

Andrew B. Kahng, Seokhyeong Kang, Hyein Lee, 2013, 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Young Hwan Kim, Seokhyeong Kang, Hyun-jeong Kwon, 2018, 2018 International SoC Design Conference (ISOCC).

Seokhyeong Kang, Sunmean Kim, Sung-Yun Lee, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Seokhyeong Kang, Sung-Yun Lee, SangGi Do, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Seokhyeong Kang, Jiajia Li, 2014, GLSVLSI '14.

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Seokhyeong Kang, Seungwon Kim, Mingyu Woo, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Seokhyeong Kang, Seungwon Kim, Jaemin Lee, 2015, 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Andrew B. Kahng, Seokhyeong Kang, Kwangok Jeong, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Seokhyeong Kang, Sung In Cho, Gyujin Bae, 2019, IEEE Access.

Seokhyeong Kang, Seungwon Kim, SangGi Do, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Andrew B. Kahng, Tajana Simunic, Seokhyeong Kang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Seokhyeong Kang, Ki Jin Han, Seungwon Kim, 2016, ACM Trans. Design Autom. Electr. Syst..

Seokhyeong Kang, Seungwon Kim, SangGi Do, 2016, 2016 International SoC Design Conference (ISOCC).

Andrew B. Kahng, Seokhyeong Kang, Bongil Park, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Seokhyeong Kang, Ki Jin Han, Seungwon Kim, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Seokhyeong Kang, SangGi Do, Mingyu Woo, 2019, ACM Great Lakes Symposium on VLSI.

Seokhyeong Kang, Youngmin Kim, Jaemin Lee, 2016, 2016 IEEE International Conference on Consumer Electronics-Asia (ICCE-Asia).

Andrew B. Kahng, Seokhyeong Kang, Hyein Lee, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2010, Design Automation Conference.

Seokhyeong Kang, Sangyun Oh, Mingyu Woo, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Tajana Simunic, Seokhyeong Kang, 2012, ISLPED '12.

Seokhyeong Kang, Youngsoo Shin, Sangmin Kim, 2016, ACM Trans. Design Autom. Electr. Syst..

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Seokhyeong Kang, Jaewoo Kim, Yesung Kang, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Andrew B. Kahng, Igor L. Markov, Seokhyeong Kang, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Seokhyeong Kang, Ki Jin Han, Seungwon Kim, 2014, 2014 International SoC Design Conference (ISOCC).

Seokhyeong Kang, Youngsoo Shin, Seungwhun Paik, 2016, Integr..

Andrew B. Kahng, Seokhyeong Kang, A. Kahng, 2012, DAC Design Automation Conference 2012.

Seokhyeong Kang, Taeho Lim, Sunmean Kim, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Seokhyeong Kang, Ki Jin Han, Seungwon Kim, 2017, 2017 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT).

Andrew B. Kahng, Tajana Simunic, Seokhyeong Kang, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Andrew B. Kahng, Seokhyeong Kang, Jiajia Li, 2015, ACM Trans. Design Autom. Electr. Syst..

Jin Hu, Andrew B. Kahng, Igor L. Markov, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Seokhyeong Kang, Jaewoo Kim, Sunmin Kim, 2017, 2017 IEEE 47th International Symposium on Multiple-Valued Logic (ISMVL).

Young Hwan Kim, Seokhyeong Kang, Yonghee Yun, 2020, IEEE Access.

Andrew B. Kahng, Seokhyeong Kang, Bangqi Xu, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Seokhyeong Kang, Sunmean Kim, Yesung Kang, 2021, IEEE Transactions on Circuits and Systems II: Express Briefs.

Seokhyeong Kang, Sunmean Kim, Youngchang Choi, 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).

Young Hwan Kim, Seokhyeong Kang, Daeyeon Kim, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Seokhyeong Kang, Byoung Hun Lee, Sunmean Kim, 2018, IEEE Electron Device Letters.

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2010 .