Romesh Kumar Nandwana

发表

Ahmed Elkholy, Woo-Seok Choi, Pavan Kumar Hanumolu, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Ahmed Elkholy, Tejasvi Anand, Woo-Seok Choi, 2017, IEEE Journal of Solid-State Circuits.

Ahmed Elkholy, Pavan Kumar Hanumolu, Daniel Coombs, 2019, IEEE Journal of Solid-State Circuits.

Ahmed Elkholy, Tejasvi Anand, Pavan Kumar Hanumolu, 2017, IEEE J. Solid State Circuits.

Pavan Kumar Hanumolu, Saurabh Saxena, Romesh Kumar Nandwana, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Amr Elshazly, Pavan Kumar Hanumolu, Kartikeya Mayaram, 2013, 2013 Symposium on VLSI Circuits.

Ahmed Elkholy, Tejasvi Anand, Woo-Seok Choi, 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

Amr Elshazly, Pavan Kumar Hanumolu, Kartikeya Mayaram, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Ahmed Elkholy, Pavan Kumar Hanumolu, Daniel Coombs, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Ahmed Elkholy, Tejasvi Anand, Woo-Seok Choi, 2017, IEEE Journal of Solid-State Circuits.

Ahmed Elkholy, Woo-Seok Choi, Pavan Kumar Hanumolu, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Ahmed Elkholy, Tejasvi Anand, Woo-Seok Choi, 2015, IEEE Journal of Solid-State Circuits.

Romesh Kumar Nandwana, Richard Booth, Alexander Kurylak, 2019, IEEE Journal of Solid-State Circuits.

Ahmed Elkholy, Woo-Seok Choi, Pavan Kumar Hanumolu, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Ahmed Elkholy, Amr Elshazly, Pavan Kumar Hanumolu, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Ahmed Elkholy, Pavan Kumar Hanumolu, Guanghua Shu, 2017, IEEE Journal of Solid-State Circuits.

Ahmed Elkholy, Tejasvi Anand, Woo-Seok Choi, 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

Ahmed Elkholy, Amr Elshazly, Pavan Kumar Hanumolu, 2016, IEEE Journal of Solid-State Circuits.

Pavan Kumar Hanumolu, Guanghua Shu, Junheng Zhu, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Pavan Kumar Hanumolu, Robert C. N. Pilawa-Podgurski, Qadeer Ahmad Khan, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Pavan Kumar Hanumolu, Robert C. N. Pilawa-Podgurski, Qadeer Ahmad Khan, 2015, IEEE Journal of Solid-State Circuits.

Pavan Kumar Hanumolu, Saurabh Saxena, Romesh Kumar Nandwana, 2014, IEEE Journal of Solid-State Circuits.

Pavan Kumar Hanumolu, Bibhudatta Sahoo, Guanghua Shu, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Ahmed Elkholy, Pavan Kumar Hanumolu, Romesh Kumar Nandwana, 2021, IEEE Journal of Solid-State Circuits.