Janarbek Matai

发表

Wei Hu, Dejun Mu, Ryan Kastner, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Janarbek Matai, Ali Irturk, Ryan Kastner, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Ryan Kastner, Jason Oberg, Janarbek Matai, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ryan Kastner, Matthew Jacobsen, Motoki Kimura, 2013, 2013 IEEE Third International Conference on Consumer Electronics ¿ Berlin (ICCE-Berlin).

Pingfan Meng, Ryan Kastner, Quentin Gautier, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Wei Hu, Dejun Mu, Ryan Kastner, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ryan Kastner, Joo-Young Kim, Janarbek Matai, 2014, 2014 IEEE 25th International Conference on Application-Specific Systems, Architectures and Processors.

Ryan Kastner, Brad T. Weals, Janarbek Matai, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Hyun Kim, Young-Ho Suh, Kang-Woo Lee, 2008, 2008 10th International Conference on Control, Automation, Robotics and Vision.

Pingfan Meng, Ryan Kastner, Brad T. Weals, 2012, 2012 International Conference on Field-Programmable Technology.

Ryan Kastner, Stephen Neuendorffer, Janarbek Matai, 2018, ArXiv.

Ryan Kastner, Alric Althoff, Janarbek Matai, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ryan Kastner, Janarbek Matai, Dajung Lee, 2014, ArXiv.