Shashidhar Mysore

发表

Frederic T. Chong, Timothy Sherwood, Mohit Tiwari, 2009, ASPLOS.

Nisheeth Shrivastava, Subhash Suri, Timothy Sherwood, 2008, TACO.

Kaustav Banerjee, Timothy Sherwood, Sheng-Chih Lin, 2006, ASPLOS XII.

Frederic T. Chong, Timothy Sherwood, Banit Agrawal, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Timothy Sherwood, Banit Agrawal, Shashidhar Mysore, 2008, ASPLOS.