Dimitrios Stamoulis

发表

Diana Marculescu, Jie Liu, Bodhi Priyantha, 2019, IEEE Journal of Selected Topics in Signal Processing.

Diana Marculescu, Dimitrios Stamoulis, Ermao Cai, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, ArXiv.

Dimitrios Soudris, Zeljko Zilic, Dimitrios Rodopoulos, 2016 .

Francky Catthoor, Dimitrios Soudris, Zeljko Zilic, 2015, ACM Great Lakes Symposium on VLSI.

Kartikeya Bhardwaj, Radu Marculescu, Diana Marculescu, 2017, CySWATER@CPSWeek.

Diana Marculescu, Zhuo Chen, Dimitrios Stamoulis, 2018, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dimitrios Soudris, Zeljko Zilic, Dimitrios Rodopoulos, 2014, 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS).

Diana Marculescu, Dimitrios Stamoulis, Ermao Cai, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jie Liu, Diana Marculescu, Di Wang, 2019, ECML/PKDD.

Dimitrios Soudris, Francky Catthoor, Dimitrios Rodopoulos, 2014, 2014 IEEE International Conference on IC Design & Technology.

Francky Catthoor, Dimitrios Soudris, Zeljko Zilic, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Diana Marculescu, Haocheng Fang, Dimitrios Stamoulis, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, ACML.

Jie Liu, Diana Marculescu, Di Wang, 2019, ArXiv.

Dimitrios Stamoulis, Δημήτριος Α. Σταμούλης, 2013 .