Ying Wang

发表

Lei Zhang, Huawei Li, Xiaowei Li, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Ying Wang, 2022, CCF Transactions on High Performance Computing.

Xiaowei Li, Yinhe Han, Ying Wang, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ying Wang, Cheng Liu, John Murphy, 2021, IEEE Transactions on Parallel and Distributed Systems.

Xiaowei Li, Kaiwei Zou, Ying Wang, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Huawei Li, Xiaowei Li, Dawen Xu, 2019, IEEE Transactions on Computers.

Ying Wang, Kwang-Ting Cheng, Dawen Xu, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ying Wang, Huaguo Liang, Kwang-Ting Cheng, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ying Wang, Wen Li, Huawei Li, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Huawei Li, Xiaowei Li, David Novo, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ying Wang, Zhaohao Wang, Xiaobo Sharon Hu, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Xiaowei Li, Xin Zhao, Yinhe Han, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Cong Shi, Xiaowei Li, Ying Wang, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ying Wang, Youyou Lu, Zhe Yang, 2019, USENIX Annual Technical Conference.

Yinhe Han, Ying Wang, Xiaoming Chen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ying Wang, Xiaowei Li, Huawei Li, 2018, 2018 IEEE International Test Conference in Asia (ITC-Asia).

Yu Hu, Xiaowei Li, Jing Ye, 2017, ACM J. Emerg. Technol. Comput. Syst..

Xiaowei Li, Yinhe Han, Ying Wang, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ying Wang, Dick H. J. Epema, Long Cheng, 2017, 2017 46th International Conference on Parallel Processing (ICPP).

Ying Wang, Yinhe Han, Xiaowei Li, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ying Wang, Huawei Li, Xiaowei Li, 2019, 2019 IEEE 37th VLSI Test Symposium (VTS).

Jie Xu, Huawei Li, Xiaowei Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ying Wang, Lei Zhang, Bing Li, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Noel E. O'Connor, Ying Wang, Yingqi Gu, 2021, IEEE Transactions on Intelligent Transportation Systems.

Huawei Li, Xiaowei Li, Yinhe Han, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ying Wang, Xiaowei Li, Huawei Li, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Ying Wang, Bing Li, Xiaowei Li, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Xiaowei Li, Ying Wang, Huawei Li, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ying Wang, Bingsheng He, Xiaofei Liao, 2019, 2019 International Conference on Field-Programmable Technology (ICFPT).

Ying Wang, Xiaowei Li, Huawei Li, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Huawei Li, Xiaowei Li, Ying Wang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Huawei Li, Xiaowei Li, Ying Wang, 2023, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Ying Wang, 2023, arXiv.org.

Huawei Li, Xiaowei Li, Tao Luo, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ying Wang, Kwang-Ting Cheng, Meng He, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ying Wang, Long Cheng, Dawen Xu, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Huawei Li, Xiaowei Li, Ying Wang, 2023, 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD).

Ying Wang, Kwang-Ting Cheng, Cheng Liu, 2020, 2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Ying Wang, Huaguo Liang, Lei Zhang, 2020, 2020 IEEE 38th International Conference on Computer Design (ICCD).

Huawei Li, Xiaowei Li, Ying Wang, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ying Wang, Yibin Tang, Xiaowei Li, 2020, CCF Transactions on High Performance Computing.

Jin Xiong, Ying Wang, Dejun Jiang, 2018, HotStorage.

Xiaowei Li, Yinhe Han, Ying Wang, 2015, The 20th Asia and South Pacific Design Automation Conference.

Huawei Li, Xiaowei Li, Yinhe Han, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Xiaoming Chen, Xuehai Qian, Yinhe Han, 2019, IEEE Computer Architecture Letters.

Ying Wang, Bi Wu, Weisheng Zhao, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Cheng Wang, Xiaowei Li, Ying Wang, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Zhaohao Wang, Ying Wang, Bi Wu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Huawei Li, Xiaowei Li, Ying Wang, 2023, IEEE Transactions on Computers.

Lei Zhang, Ying Wang, Cheng Liu, 2023, IEEE Robotics and Automation Letters.

Ying Wang, Cheng Liu, Shengwen Liang, 2022, DAC.

Bingsheng He, Dawen Xu, Lei Zhang, 2020, IEEE Transactions on Computers.

Ying Wang, Zhaohao Wang, Xiaobo Sharon Hu, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Huawei Li, Ying Wang, Bo Liu, 2023, ArXiv.

Ying Wang, Huawei Li, Xiaowei Li, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Huawei Li, Xiaowei Li, Ying Wang, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ying Wang, Zhaohao Wang, Weisheng Zhao, 2021, IEEE Transactions on Circuits and Systems II: Express Briefs.