Chia-Hsiang Chen

发表

David Blaauw, Dennis Sylvester, Yejoong Kim, 2011, 2011 Design, Automation & Test in Europe.

Michael P. Flynn, Chia-Hsiang Chen, Zhengya Zhang, 2017, IEEE Journal of Solid-State Circuits.

Chia-Hsiang Chen, Zhengya Zhang, Shiming Song, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Zhengya Zhang, Phil Knag, Phil C. Knag, 2014, IEEE Transactions on Nuclear Science.

Zhengya Zhang, Wei Tang, Chia-Hsiang Chen, 2021, IEEE Journal of Solid-State Circuits.

David Blaauw, Dennis Sylvester, Chia-Hsiang Chen, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Alexios Balatsoukas-Stimming, Farhana Sheikh, Chia-Hsiang Chen, 2016, 2016 IEEE International Conference on Communications (ICC).