Marina Zapater

发表

Kevin Henares, José Luis Risco-Martín, Marina Zapater, 2019, 2019 Spring Simulation Conference (SpringSim).

David Atienza, Marina Zapater, Samuel Xavier de Souza, 2019, 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2015, 2015 Sixth International Green and Sustainable Computing Conference (IGSC).

Marina Zapater, Patricia Arroba, José Luis Rodrigo, 2015 .

José Manuel Moya, José Luis Risco-Martín, Marina Zapater, 2015, 2015 Ninth International Conference on Complex, Intelligent, and Software Intensive Systems.

Pedro Malagón, José Manuel Moya, Marina Zapater, 2012, Sensors.

Pedro Malagón, José Manuel Moya, Marina Zapater, 2010, 2010 International Workshop on Innovative Architecture for Future Generation High Performance.

José Manuel Moya, José Luis Risco-Martín, Marina Zapater, 2015, Journal of Grid Computing.

David Atienza, Marina Zapater, Arman Iranfar, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Oscar Plata, David Atienza, Marina Zapater, 2021, IEEE Transactions on Computers.

David Atienza, Marina Zapater, Xiaoyu Qu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Atienza, Marina Zapater, Alexandre Levisse, 2019, 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC).

David Atienza, Marina Zapater, Martin Zagar, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Alessandro Cilardo, Giovanni Agosta, David Atienza, 2017, 2017 Euromicro Conference on Digital System Design (DSD).

Marina Zapater, Patricia Arroba, José Manuel Moya Fernández, 2017 .

David Atienza, Marina Zapater, Miroslav Vasic, 2020, 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Alessandro Cilardo, Giovanni Agosta, David Atienza, 2020, Microprocess. Microsystems.

José Manuel Moya, José Luis Risco-Martín, Marina Zapater, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2021, Future Gener. Comput. Syst..

David Atienza, Marina Zapater, William Fornaciari, 2017, 2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).

Pedro Malagón, José Manuel Moya, Marina Zapater, 2011, IWAAL.

David Atienza, Marina Zapater, Katzalin Olcoz, 2019, 2019 Spring Simulation Conference (SpringSim).

José Ignacio Hidalgo, José Manuel Moya, José Luis Risco-Martín, 2015, Neurocomputing.

Marina Zapater, José Luis Ayala, Josué Pagán, 2018, Future Gener. Comput. Syst..

David Atienza, Marina Zapater, Arman Iranfar, 2018, IEEE Transactions on Parallel and Distributed Systems.

David Atienza, Marina Zapater, Alexandre Levisse, 2019, ACM Great Lakes Symposium on VLSI.

José Manuel Moya, Marina Zapater, Patricia Arroba, 2015, 2015 IEEE 8th International Conference on Cloud Computing.

José Manuel Moya, César Sánchez, José Luis Risco-Martín, 2012, Sensors.

José Luis Risco-Martín, Marina Zapater, José Luis Ayala, 2017, SummerSim.

David Atienza, Marina Zapater, Katzalin Olcoz, 2020, IEEE Transactions on Parallel and Distributed Systems.

David Atienza, Marina Zapater, Pierre-Emmanuel Gaillardon, 2019, VLSI-SoC.

Marina Zapater, José L. Risco-Martín, Katzalin Olcoz, 2014 .

José Manuel Moya, Román Hermida, José Luis Risco-Martín, 2016, Appl. Soft Comput..

David Atienza, Marina Zapater, Francisco D. Igual, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Luca Benini, Andrea Bartolini, David Atienza, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

David Atienza, Mohamed M. Sabry, Marina Zapater, 2018, IEEE Transactions on Computers.

Alessandro Cilardo, Giovanni Agosta, Marina Zapater, 2019, 2019 22nd Euromicro Conference on Digital System Design (DSD).

Marina Zapater, Marco D. Santambrogio, Gianluca Durelli, 2015, 2015 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2014, Future Gener. Comput. Syst..

David Atienza, Marina Zapater, Alexandre Levisse, 2020, ACM Great Lakes Symposium on VLSI.

Marina Zapater, Alexandre Levisse, Halima Najibi, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2012, 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2018, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Luca Benini, Andrea Bartolini, Babak Falsafi, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Pedro Malagón, José Manuel Moya, Marina Zapater, 2015, Log. J. IGPL.

David Atienza, Marina Zapater, Arman Iranfar, 2018, 2018 17th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2012, UCAmI.

Philippe Ryvlin, David Atienza, Marina Zapater, 2020, Artif. Intell. Medicine.

José Manuel Moya, Marina Zapater, Kenny C. Gross, 2015, IEEE Transactions on Parallel and Distributed Systems.

David Atienza, Marina Zapater, Arman Iranfar, 2017, 2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

David Atienza, Marina Zapater, Alexandre Levisse, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Marina Zapater, Alberto A. Del Barrio, Roman Hermida, 2019 .

David Atienza, Marina Zapater, William Fornaciari, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

David Atienza, Marina Zapater, A. Levisse, 2020, IEEE Transactions on Computers.

Alessandro Cilardo, David Atienza, Marina Zapater, 2020, ACM Comput. Surv..

José Manuel Moya, Marina Zapater, Kenny C. Gross, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

David Atienza, Marina Zapater, Siamak Mohammadi, 2019, IEEE Transactions on Services Computing.

David Atienza, Marina Zapater, Arman Iranfar, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Román Hermida, José Luis Risco-Martín, Marina Zapater, 2017, Simul..

José Manuel Moya, José Luis Risco-Martín, Marina Zapater, 2010, 2010 International Workshop on Innovative Architecture for Future Generation High Performance.

David Atienza, Marina Zapater, Samuel Xavier de Souza, 2019, 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC).

José Manuel Moya, Marina Zapater, José Luis Ayala, 2022, Comput. Electr. Eng..

David Atienza, Marina Zapater, Alexandre Levisse, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

David Atienza, Marina Zapater, Katzalin Olcoz, 2021, ACM Trans. Archit. Code Optim..

David Atienza, Marina Zapater, Ali Pahlevan, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Atienza, Marina Zapater, Arman Iranfar, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Atienza, Marina Zapater, Ayse K. Coskun, 2021, IEEE Transactions on Sustainable Computing.