V. Joshi

发表

A. Pramanik, Navneet Khanna, V. Joshi, 2016 .

Vijay K. Jain, P. M. Dixit, V. Jain, 1994 .

David Blaauw, Dennis Sylvester, Vivek Joshi, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).