Kunle Olukotun

发表

Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, 1996, ISCA.

Kunle Olukotun, Kenneth M. Wilson, 1997, ISCA.

Kunle Olukotun, John D. Davis, Stephen E. Richardson, 2005, CARN.

Kunle Olukotun, Sang Kyun Kim, Peter Leonard McMahon, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Kunle Olukotun, Trevor N. Mudge, Karem A. Sakallah, 1990, DAC '90.

Trevor Mudge, Kunle Olukotun, Krisztian Flautner, 2005 .

Kunle Olukotun, Christoforos E. Kozyrakis, Sungpack Hong, 2011, ASPLOS XVI.

Kunle Olukotun, Christina Delimitrou, Yaqi Zhang, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Christoforos E. Kozyrakis, JaeWoong Chung, 2008, 2008 IEEE International Symposium on Workload Characterization.

Kunle Olukotun, JaeWoong Chung, Brian D. Carlstrom, 2006 .

Kunle Olukotun, Christoforos E. Kozyrakis, Michael K. Chen, 2004, ASPLOS XI.

Kunle Olukotun, Christoforos E. Kozyrakis, Lance Hammond, 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).

Kunle Olukotun, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Kunle Olukotun, Christoforos E. Kozyrakis, Jared Casper, 2007, FPGA '07.

Kunle Olukotun, Leonidas J. Guibas, Sebastian Thrun, 2006, Robotics: Science and Systems.

Kunle Olukotun, Martin Odersky, Vojin Jovanovic, 2013, ECOOP.

Kunle Olukotun, H. Peter Hofstee, Stephen W. Keckler, 2009, Integrated Circuits and Systems.

Kunle Olukotun, Christopher Ré, Jian Zhang, 2018, DEEM@SIGMOD.

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

Kunle Olukotun, Christoforos E. Kozyrakis, Nathan Grasso Bronson, 2009, POPL '09.

Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, 1997, Computer.

Kunle Olukotun, Christoforos E. Kozyrakis, Jared Casper, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Michael K. Chen, K. Olukotun, 2003, IEEE Micro.

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, PLDI.

Kunle Olukotun, Franz Franchetti, Jan M. Rabaey, 2015, Computer.

Kunle Olukotun, Lance Hammond, K. Olukotun, 2005, ACM Queue.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Kunle Olukotun, Kenneth M. Wilson, K. Olukotun, 2001, IEEE Trans. Computers.

Kunle Olukotun, Sebastian Thrun, Gary R. Bradski, 2005, NIPS.

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2015, NIPS.

Kunle Olukotun, Christopher Ré, Andrew Lamb, 2018, 2018 IEEE 34th International Conference on Data Engineering (ICDE).

Kunle Olukotun, Basem A. Nayfeh, K. Olukotun, 1994, Proceedings of 21 International Symposium on Computer Architecture.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Kunle Olukotun, Basem A. Nayfeh, Jules Bergmann, 1994 .

Kunle Olukotun, Jared Casper, J. Casper, 2014, FPGA.

Kunle Olukotun, Grant Martin, Krisztian Flautner, 2005, ISLPED '05.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, ASPLOS XII.

Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, 1996, ASPLOS VII.

Kunle Olukotun, Takashi Miyamori, 1998, FPGA '98.

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1997, IEEE Trans. Computers.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, IEEE Micro.

Kunle Olukotun, Basem A. Nayfeh, Andrew Erlichson, 1995, Proceedings of the IEEE/ACM SC95 Conference.

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2015, NIPS.

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2007, SPAA '07.

Kunle Olukotun, Sang Kyun Kim, Peter Leonard McMahon, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Kunle Olukotun, Christoforos E. Kozyrakis, Sungpack Hong, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Kunle Olukotun, Muhammad Shahbaz, Luigi Nardi, 2019, 2019 IEEE 39th International Conference on Distributed Computing Systems (ICDCS).

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2007, IEEE Micro.

Kunle Olukotun, Kathirgamar Aingaran, Poonacha Kongetira, 2005, IEEE Micro.

Kunle Olukotun, 2009, ISCA '09.

Kunle Olukotun, Christopher Ré, Christopher R. Aberger, 2015, ACM Trans. Database Syst..

Kunle Olukotun, Christopher Ré, Andrew Lamb, 2017, ArXiv.

Kunle Olukotun, Yaqi Zhang, Raghu Prabhakar, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Christoforos E. Kozyrakis, Jiwon Seo, 2008, SPAA '08.

Kunle Olukotun, Albert Y. Zomaya, Jean-Luc Gaudiot, 2013, International Journal of Parallel Programming.

Kunle Olukotun, Sungpack Hong, Nicole C. Rodia, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Kunle Olukotun, Christopher Ré, Jian Zhang, 2017 .

Kunle Olukotun, Christopher Ré, Christopher R. Aberger, 2016, 2016 IEEE 32nd International Conference on Data Engineering Workshops (ICDEW).

Kunle Olukotun, Michael K. Chen, 2003, CGO.

Kunle Olukotun, Kenneth M. Wilson, Mendel Rosenblum, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Kunle Olukotun, John D. Davis, James Laudon, 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).

Kunle Olukotun, Christoforos E. Kozyrakis, Sungpack Hong, 2010, IEEE International Symposium on Workload Characterization (IISWC'10).

Kunle Olukotun, Lance Hammond, Hassan Chafi, 2005 .

Kunle Olukotun, Vivek Sarkar, Josep Torrellas, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2018, ArXiv.

Trevor Mudge, Kunle Olukotun, Richard Brown, 1992, ISCA '92.

Kunle Olukotun, Ayodele Thomas, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2014, ACM Trans. Embed. Comput. Syst..

Kunle Olukotun, Lance Hammond, Tadaaki Yamauchi, 1997, Proceedings Seventeenth Conference on Advanced Research in VLSI.

Kunle Olukotun, Tiark Rompf, Hassan Chafi, 2014, PLDI.

Kunle Olukotun, Christopher Ré, Andres Nötzli, 2015, ArXiv.

Kunle Olukotun, Jeffrey D. Ullman, Yaqi Zhang, 2019, TPCTC.

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, IEEE Micro.

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2010, SPAA '10.

Kunle Olukotun, Christopher Ré, Peter Bailis, 2017, ArXiv.

Kunle Olukotun, Sungpack Hong, Sang Kyun Kim, 2011, PPoPP '11.

Kunle Olukotun, Tiark Rompf, James M. Decker, 2017, ArXiv.

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2010, ICS '10.

Kunle Olukotun, Luigi Nardi, David Koeplinger, 2019, 2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS).

Kunle Olukotun, Valeria Bertacco, 2002, DAC '02.

Kunle Olukotun, Lawrence C. McAfee, 2015, 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Kunle Olukotun, Rachid Helaihel, K. Olukotun, 1996 .

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Kunle Olukotun, Christoforos E. Kozyrakis, Jiwon Seo, 2008, SPAA '08.

Kunle Olukotun, Basem A. Nayfeh, Jaswinder Pal Singh, 1996 .

Kunle Olukotun, Jeremy R. Levitt, K. Olukotun, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Kunle Olukotun, Jennifer Widom, Semih Salihoglu, 2014, CGO '14.

Kunle Olukotun, Christoforos E. Kozyrakis, Lance Hammond, 2005, ICS '05.

Kunle Olukotun, Christoforos E. Kozyrakis, Christopher De Sa, 2015, ASPLOS.

Kunle Olukotun, Hassan Chafi, Kevin J. Brown, 2011, PPoPP '11.

Kunle Olukotun, Rachid Helaihel, K. Olukotun, 1997 .

Kunle Olukotun, Kurt Keutzer, Pat Hanrahan, 2010, IEEE Micro.

Kunle Olukotun, Hassan Chafi, Nathan Bronson, 2010 .

Kunle Olukotun, Trevor N. Mudge, K. Olukotun, 1990, J. Parallel Distributed Comput..

Kunle Olukotun, Michael K. Chen, 2002, Java Virtual Machine Research and Technology Symposium.

Kunle Olukotun, Christos Kozyrakis, 2009, 2009 IEEE Hot Chips 21 Symposium (HCS).

Kunle Olukotun, Victor J. Lam, K. Olukotun, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Kunle Olukotun, Jeremy R. Levitt, 1997, ICCAD 1997.

Kunle Olukotun, Christoforos E. Kozyrakis, Jared Casper, 2007, ISCA '07.

Kunle Olukotun, Martin Odersky, David Novo, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Kunle Olukotun, David Novo, Paolo Ienne, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, PLDI '06.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, ICML.

Kunle Olukotun, Trevor N. Mudge, Karem A. Sakallah, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kunle Olukotun, Gary R. Bradski, Andrew Y. Ng, 2006, NIPS.

Kunle Olukotun, Wen-mei W. Hwu, Doug Burger, 2011 .

Kunle Olukotun, Christoforos E. Kozyrakis, Lance Hammond, 2006, Sci. Comput. Program..

Kunle Olukotun, Manohar K. Prabhu, K. Olukotun, 2003, PPoPP '03.

Kunle Olukotun, Tayo Oguntebi, K. Olukotun, 2016, FPGA.

Kunle Olukotun, Christos Kozyrakis, 2005 .

Kunle Olukotun, Lance Hammond, Mark Willey, 1998, ASPLOS VIII.

Kunle Olukotun, Christoforos E. Kozyrakis, Michael K. Chen, 2004, IEEE Micro.

Kunle Olukotun, Christos Kozyrakis, Jared Casper, 2006 .

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2013, GPCE '13.

Kunle Olukotun, Christoforos E. Kozyrakis, Michael Carbin, 2007, PPOPP.

Kunle Olukotun, Christina Delimitrou, Christoforos E. Kozyrakis, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Martin Odersky, Pat Hanrahan, 2010, OOPSLA.

Kunle Olukotun, Mark Heinrich, David Ofelt, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Kunle Olukotun, Christopher Ré, Andres Nötzli, 2017, ACM Trans. Database Syst..

Kunle Olukotun, Christopher De Sa, Raghu Prabhakar, 2015, International Conference on Architectural Support for Programming Languages and Operating Systems.

Kunle Olukotun, Michael Chen, K. Olukotun, 2003, International Symposium on Code Generation and Optimization, 2003. CGO 2003..

Kunle Olukotun, Michael K. Chen, K. Olukotun, 1998, Proceedings. 1998 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.98EX192).

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2013 .

Kunle Olukotun, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).

Kunle Olukotun, Tiark Rompf, Kevin J. Brown, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Kunle Olukotun, Vivek Sarkar, Josep Torrellas, 2010, HPCA.

Kunle Olukotun, Lance Hammond, Tadaaki Yamauchi, 1997 .

Kunle Olukotun, Christopher De Sa, Tiark Rompf, 2016, 2016 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Kunle Olukotun, Michael K. Chen, Lance Hammond, 2000, IEEE Micro.

Kunle Olukotun, Basem A. Nayfeh, Jaswinder Pal Singh, 1995, Proceedings of the IEEE/ACM SC95 Conference.

Kunle Olukotun, Christopher Ré, Andrew Lamb, 2017, Proc. VLDB Endow..

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Kunle Olukotun, Christoforos E. Kozyrakis, Michael K. Chen, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Kunle Olukotun, Sungpack Hong, Tayo Oguntebi, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Kunle Olukotun, Manohar K. Prabhu, K. Olukotun, 2005, PPoPP.

Kunle Olukotun, Ben Hertzberg, K. Olukotun, 2011, International Symposium on Code Generation and Optimization (CGO 2011).

Kunle Olukotun, Xiaobo Sharon Hu, Stephen W. Poole, 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Kunle Olukotun, Sungpack Hong, Hassan Chafi, 2012, ASPLOS XVII.

Kunle Olukotun, Takashi Miyamori, K. Olukotun, 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

Kunle Olukotun, Michael K. Chen, 2003, ISCA '03.

Kunle Olukotun, Trevor N. Mudge, 1987, 24th ACM/IEEE Design Automation Conference.

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1991, ISCA.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Kunle Olukotun, Jeremy R. Levitt, Ricardo Ramírez, 1994, IEEE Micro.

Kunle Olukotun, Monica S. Lam, Robert S. French, 1995, 32nd Design Automation Conference.

Kunle Olukotun, Rachid Helaihel, 1997, ICCAD 1997.

Kunle Olukotun, Luigi Nardi, David Koeplinger, 2018, 2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS).

Kunle Olukotun, Hassan Chafi, Jared Casper, 2010, PPoPP '10.

Kunle Olukotun, Rachid Helaihel, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Ayman I. Kayssi, Kunle Olukotun, Trevor N. Mudge, 1991, Computer.

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2010, 2010 15th IEEE International Conference on Engineering of Complex Computer Systems.

Kunle Olukotun, Christopher Ré, Jian Zhang, 2018, ACM SIGOPS Oper. Syst. Rev..

Kunle Olukotun, Hassan Chafi, Jared Casper, 2010, PODC '10.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, DSL.

Kunle Olukotun, Jeremy R. Levitt, K. Olukotun, 1996, 33rd Design Automation Conference Proceedings, 1996.

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Takashi Miyamori, K. Olukotun, 1999 .

Kunle Olukotun, Marius Lindauer, Frank Hutter, 2021, ECML/PKDD.

Kunle Olukotun, Saman Amarasinghe, Saman P. Amarasinghe, 2021, Proc. ACM Program. Lang..

Kunle Olukotun, K. Olukotun, 2021, CIDR.

Kunle Olukotun, Alexander Rucker, Muhammad Shahbaz, 2021, IEEE Computer Architecture Letters.

Kunle Olukotun, Yaqi Zhang, Muhammad Shahbaz, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Yaqi Zhang, Raghu Prabhakar, 2021, MICRO.

Kunle Olukotun, Alexander Rucker, Matthew Vilim, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Eiji Iwata, K. Olukotun, 1998 .