S. Yin

发表

Shouyi Yin, Shaojun Wei, Weilong Zhang, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Jie Han, Honglan Jiang, Leibo Liu, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Xiaokang Lin, Shouyi Yin, Yongqiang Xiong, 2007 .

Leibo Liu, S. Yin, Shaojun Wei, 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jingchuang Wei, Leibo Liu, S. Yin, 2023, IEEE Journal of Solid-State Circuits.

Ang Li, Leibo Liu, S. Yin, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qian Zhang, Xiaokang Lin, Shouyi Yin, 2006, Wirel. Commun. Mob. Comput..

Qian Zhang, Xiaokang Lin, Shouyi Yin, 2006, IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC'06).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Inf. Syst..

Yu Peng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015 .

Dong Wang, Chenchen Deng, Leibo Liu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Wenjie Wang, Leibo Liu, Shouyi Yin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, IEICE Trans. Electron..

Hui Gao, Leibo Liu, Shouyi Yin, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Victor Y. Chen, Dong Wang, Leibo Liu, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Shouyi Yin, Peng Ouyang, Xiaoqing Xu, 2017, 2017 6th International Symposium on Next Generation Electronics (ISNE).

Dong Wang, Jun Yang, Leibo Liu, 2015, IEEE Transactions on Multimedia.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Inf. Syst..

Shouyi Yin, Dandan Song, Peng Ouyang, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Circuits and Systems for Video Technology.

Hui Gao, Leibo Liu, Shouyi Yin, 2012, 2012 8th International Conference on Computing Technology and Information Management (NCM and ICNIT).

Xiaokang Lin, Shouyi Yin, Xiaokang Lin, 2005, IEEE International Conference on Communications, 2005. ICC 2005. 2005.

Xiaokang Lin, Shouyi Yin, Xiaokang Lin, 2005, IEEE Wireless Communications and Networking Conference, 2005.

Xiaokang Lin, Shouyi Yin, Xiaokang Lin, 2004, IEEE 60th Vehicular Technology Conference, 2004. VTC2004-Fall. 2004.

Yangdong Deng, Shouyi Yin, Jianfeng Zhu, 2019, ACM Comput. Surv..

Leibo Liu, S. Yin, Shaojun Wei, 2023, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yangdong Deng, Shouyi Yin, Xiaowei Jiang, 2021, IEEE Transactions on Parallel and Distributed Systems.

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Yang Wang, Shouyi Yin, Ning Li, 2021, IEEE Journal of Solid-State Circuits.

Shouyi Yin, Shibin Tang, Shaojun Wei, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shouyi Yin, Yanan Lu, Shaojun Wei, 2020, Journal of Semiconductors.

Shouyi Yin, Fengbin Tu, Shaojun Wei, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Shouyi Yin, Weiwei Wu, Fengbin Tu, 2019, 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS).

Youguang Zhang, Shouyi Yin, Shaojun Wei, 2018, 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Journal of Solid-State Circuits.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, S. Yin, Shaojun Wei, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Shouyi Yin, Shaojun Wei, Peng Ouyang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Xin Si, Shouyi Yin, Shaojun Wei, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Leibo Liu, S. Yin, Shaojun Wei, 2020, 2020 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Jun Yang, Yan Liu, Shouyi Yin, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Wayne Luk, Leibo Liu, Shouyi Yin, 2018, Neurocomputing.

Yang Wang, Shouyi Yin, Feng Xiong, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xiangyu Li, Leibo Liu, Shouyi Yin, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Shouyi Yin, Sheng Zhou, Shaojun Wei, 2020, IEEE Journal of Solid-State Circuits.

Bo Wang, Chenchen Deng, Leibo Liu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Youguang Zhang, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Magnetics.

Shouyi Yin, Cong Liu, Yang Hu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shouyi Yin, Shaojun Wei, Binren Tian, 2018, 2018 IEEE 23rd International Conference on Digital Signal Processing (DSP).

Shouyi Yin, Xi Chen, Songyang Zhang, 2020, INTERSPEECH.

Yangdong Deng, Shouyi Yin, Jiawei Wang, 2019, MICRO.

Shouyi Yin, Peng Ouyang, Zihang Jiang, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

L. Liu, Leibo Liu, S. Yin, 2022, 2022 IEEE International Solid- State Circuits Conference (ISSCC).

Leibo Liu, S. Yin, Shaojun Wei, 2023, 2023 IEEE International Solid- State Circuits Conference (ISSCC).

Ruiqi Guo, Leibo Liu, S. Yin, 2023, 2023 IEEE International Solid- State Circuits Conference (ISSCC).

Bo Wang, Chenchen Deng, Shouyi Yin, 2017, IEEE Transactions on Information Forensics and Security.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IEEE Transactions on Parallel and Distributed Systems.

Hui Yan, Leibo Liu, Shouyi Yin, 2019, FPGA.

Xinkai Chen, Leibo Liu, Shouyi Yin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Shouyi Yin, Pan Wang, Sheng Zhou, 2020, IEEE Transactions on Wireless Communications.

Yu Peng, Leibo Liu, Shouyi Yin, 2015, The 20th Asia and South Pacific Design Automation Conference.

Yu Peng, Leibo Liu, Shouyi Yin, 2015, IEICE Trans. Inf. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Shouyi Yin, Sheng Zhou, Shaojun Wei, 2020, IEEE Transactions on Signal Processing.

Shouyi Yin, Xi Chen, Peng Ouyang, 2019, 2019 IEEE Automatic Speech Recognition and Understanding Workshop (ASRU).

Jingchuang Wei, Leibo Liu, S. Yin, 2022, IEEE Journal of Solid-State Circuits.

Leibo Liu, S. Yin, Shaojun Wei, 2023, 2023 IEEE International Solid- State Circuits Conference (ISSCC).

Leibo Liu, S. Yin, Shaojun Wei, 2014, Science China Information Sciences.

Yang Wang, Shouyi Yin, Fengbin Tu, 2021, IEEE Journal of Solid-State Circuits.

Leibo Liu, S. Yin, Shaojun Wei, 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yang Wang, Shouyi Yin, Shaojun Wei, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yangdong Deng, Shouyi Yin, Yifan Yang, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Shouyi Yin, Dongdong Cui, Shaojun Wei, 2019, 2019 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

Leibo Liu, S. Yin, Shaojun Wei, 2023, IEEE Journal of Solid-State Circuits.

Shouyi Yin, Zhengdong Li, Leibo Liu, 2021, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Chen Chen, Shouyi Yin, Shaojun Wei, 2020, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Leibo Liu, S. Yin, Shaojun Wei, 2022, 2022 IEEE International Solid- State Circuits Conference (ISSCC).

Shouyi Yin, Leibo Liu, Shaojun Wei, 2021, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Zhiwei Liu, Shouyi Yin, Zhaoshi Li, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Multimedia.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Consumer Electronics.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, Sensors.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Leibo Liu, S. Yin, Shaojun Wei, 2023, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Cong Xu, Yuan Xie, Jishen Zhao, 2019, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Bohan Yang, Leibo Liu, S. Yin, 2022, Design Automation Conference.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 Symposium on VLSI Circuits.

Leibo Liu, S. Yin, Shaojun Wei, 2022, IEEE Transactions on Circuits and Systems Part 1: Regular Papers.

Yike Guo, Leibo Liu, Shouyi Yin, 2016, IEEE Computer Architecture Letters.

Jingchuang Wei, Leibo Liu, S. Yin, 2022, 2022 IEEE International Solid- State Circuits Conference (ISSCC).

Yuan Xie, Leibo Liu, S. Yin, 2023, IEEE Transactions on Circuits and Systems Part 1: Regular Papers.

Hong Liu, Shouyi Yin, Qiang Li, 2020, IEEE Transactions on Circuits and Systems for Video Technology.

Shouyi Yin, Leibo Liu, Neng Zhang, 2020, IEEE Transactions on Computers.

Shouyi Yin, Shaojun Wei, Binren Tian, 2018, J. Low Power Electron..

Yao Wang, Yangdong Deng, Leibo Liu, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2016, IEEE Transactions on Information Forensics and Security.

Shouyi Yin, Shaojun Wei, Jiangyuan Gu, 2018, IEEE Transactions on Parallel and Distributed Systems.

Youguang Zhang, Leibo Liu, Shouyi Yin, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Chunxiao Xing, Leibo Liu, Shouyi Yin, 2017, ACM Great Lakes Symposium on VLSI.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Conference on Robotics and Biomimetics (ROBIO 2014).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 22nd International Conference on Pattern Recognition.

Hui Li, Leibo Liu, Shouyi Yin, 2018, 2018 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery (CyberC).

Jie Han, Honglan Jiang, Leibo Liu, 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yangdong Deng, Leibo Liu, Shouyi Yin, 2018, IEEE Computer Architecture Letters.