Indranil Chakraborty

发表

Kaushik Roy, Indranil Chakraborty, Gobinda Saha, 2018, ArXiv.

Indranil Chakraborty, 2019, Games Econ. Behav..

Kaushik Roy, Indranil Chakraborty, Akhilesh Jaiswal, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Indranil Chakraborty, Akhilesh Jaiswal, 2019, ACM Great Lakes Symposium on VLSI.

Indranil Chakraborty, Gobinda Saha, Kaushik Roy, 2019, Physical Review Applied.

Kaushik Roy, Indranil Chakraborty, Aayush Ankit, 2020, IEEE Micro.

Indranil Chakraborty, Kaushik Roy, Amogh Agrawal, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Kaushik Roy, Indranil Chakraborty, Anand Raghunathan, 2020, Proceedings of the IEEE.

Kaushik Roy, Indranil Chakraborty, Deboleena Roy, 2019, 2019 IEEE International Conference on Cognitive Computing (ICCC).

Indranil Chakraborty, Murali Agastya, Parimal Kanti Bag, 2014 .

Indranil Chakraborty, Gobinda Saha, Kaushik Roy, 2018, Scientific Reports.

Indranil Chakraborty, Kaushik Roy, Gopalakrishnan Srinivasan, 2019, Philosophical Transactions of the Royal Society A.

Paul Jen-Hwa Hu, Indranil Chakraborty, Dai Cui, 2005, PACIS.

Kaushik Roy, Indranil Chakraborty, Yinghan Long, 2020, ArXiv.

Kaushik Roy, Indranil Chakraborty, Gobinda Saha, 2018, ArXiv.

Kaushik Roy, Indranil Chakraborty, Akhilesh Jaiswal, 2017, IEEE Magnetics Letters.

Paul Jen-Hwa Hu, Indranil Chakraborty, Dai Cui, 2008, Decis. Support Syst..

Indranil Chakraborty, Kaushik Roy, Deboleena Roy, 2017, IEEE Transactions on Emerging Topics in Computational Intelligence.

Indranil Chakraborty, 2006, Games Econ. Behav..

Indranil Chakraborty, Lalit Gupta, Rohit Thakur, 2016 .

Kaushik Roy, Indranil Chakraborty, Priyadarshini Panda, 2019, IEEE Access.

Indranil Chakraborty, Kaushik Roy, Deboleena Roy, 2019, 2019 International Joint Conference on Neural Networks (IJCNN).

Indranil Chakraborty, Indranil Chakraborty, 1999 .

Indranil Chakraborty, Georgia Kosmopoulou, 2001 .

Indranil Chakraborty, Richard Engelbrecht-Wiggans, 2005 .