Kevin J. Brown

发表

Kunle Olukotun, Martin Odersky, Vojin Jovanovic, 2013, ECOOP.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, IEEE Micro.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2014, ACM Trans. Embed. Comput. Syst..

Kunle Olukotun, Tiark Rompf, Hassan Chafi, 2014, PLDI.

Kunle Olukotun, Tiark Rompf, James M. Decker, 2017, ArXiv.

Kunle Olukotun, Christoforos E. Kozyrakis, Christopher De Sa, 2015, ASPLOS.

Kunle Olukotun, Hassan Chafi, Kevin J. Brown, 2011, PPoPP '11.

Kunle Olukotun, Martin Odersky, David Novo, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, ICML.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2013, GPCE '13.

Kunle Olukotun, Tiark Rompf, Kevin J. Brown, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Kunle Olukotun, Christopher De Sa, Tiark Rompf, 2016, 2016 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, DSL.

Tiark Rompf, Kevin J. Brown, Tiark Rompf, 2017, PEPM.

Kevin J. Brown, Arvind K. Sujeeth, K. Olukotun, 2014, ACM Transactions on Embedded Computing Systems.

Kunle Olukotun, Christopher De Sa, Raghu Prabhakar, 2015, International Conference on Architectural Support for Programming Languages and Operating Systems.

Kevin J. Brown, Arvind K. Sujeeth, K. Olukotun, 2013 .

Kevin J. Brown, Arvind K. Sujeeth, K. Olukotun, 2011, DSL.