M. Bhuyan

发表

S. Borah, M. Bhuyan, H. Saikia, 2002, ICVGIP.

M. Bhuyan, A. Hazarika, L. Dutta, 2016, 2016 International Conference on Inventive Computation Technologies (ICICT).

M. Bhuyan, D. Sonowal, M. Bhuyan, 2012, 2012 International Conference on Devices, Circuits and Systems (ICDCS).

M. Bhuyan, A. Bonjyotsna, 2013, 2013 International Conference on Signal Processing , Image Processing & Pattern Recognition.

Evor L. Hines, J. W. Gardner, Ritaban Dutta, 2003, Neural Networks.

M. Bhuyan, A. Hazarika, M. Barthakur, 2014, International Conference on Recent Advances and Innovations in Engineering (ICRAIE-2014).

M. Bhuyan, A. Hazarika, L. Dutta, 2016, 2016 International Conference on Accessibility to Digital World (ICADW).

M. Bhuyan, R. Chutia, M. Bhuyan, 2012, 2012 2nd National Conference on Computational Intelligence and Signal Processing (CISP).

M. Bhuyan, R. Chutia, M. Bhuyan, 2012, IEEE-International Conference On Advances In Engineering, Science And Management (ICAESM -2012).

M. Bhuyan, M. P. Das, 2014, International Conference on Recent Advances and Innovations in Engineering (ICRAIE-2014).