Sachin Shrivastava

发表

Ravi Arora, Sachin Shrivastava, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Sachin Shrivastava, Rajendra Pratap, Harindranath Parameswaran, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Sachin Shrivastava, Sreeram Chandrasekar, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Sachin Shrivastava, Harindranath Parameswaran, Ratnakar Goyal, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Gaurav Kapoor, Sachin Shrivastava, Debabrato Mukherjee, 2019, 2019 IEEE 5th International Conference for Convergence in Technology (I2CT).

Sachin Shrivastava, Harindranath Parameswaran, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Sachin Shrivastava, Harindranath Parameswaran, 2011, 2011 24th Internatioal Conference on VLSI Design.

Dhanoop Varghese, N. V. Arvind, Sachin Shrivastava, 2004, 17th International Conference on VLSI Design. Proceedings..

Sachin Shrivastava, Harindranath Parameswaran, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Sachin Shrivastava, Harindranath Parameswaran, R. Goyal, 2008, ISQED 2008.

Sachin Shrivastava, Ajoy Mandal, Sreeram Chandrasekar, 2004, 17th International Conference on VLSI Design. Proceedings..

Sachin Shrivastava, Harindranath Parameswaran, Ratnakar Goyal, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).