Mehdi Kamal

发表

Mehdi Kamal, Amin Ghasemazar, Ali Afzali-Kusha, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2018, ISLPED.

Hamid Noori, Mehdi Kamal, Ali Afzali-Kusha, 2013, Des. Autom. Embed. Syst..

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paolo Prinetto, Mehdi Kamal, John McNeil, 2015, 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Mehdi Kamal, Zainalabedin Navabi, Paniz Foroutan, 2014, 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Majid Nili Ahmadabadi, Mehdi Kamal, Sied Mehdi Fakhraie, 2006, 2006 IEEE International Conference on Evolutionary Computation.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, Proceedings of the IEEE.

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2019, ArXiv.

Arash Fayyazi, Mehdi Kamal, Ali Afzali-Kusha, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hamid Noori, Mehdi Kamal, Sied Mehdi Fakhraie, 2010, 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Muhammad Shafique, Mehdi Kamal, Ali Afzali-Kusha, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2018, IEEE Internet of Things Journal.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Massoud Pedram, Omid Akbari, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2015, Microelectron. Reliab..

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Mehdi Kamal, Shaahin Hessabi, Mehdi Salmani Jelodar, 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

Arash Fayyazi, Mehdi Kamal, Ali Afzali-Kusha, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Mehdi Kamal, Ali Afzali-Kusha, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Zainalabedin Navabi, Somayeh Sadeghi-Kohan, 2017, IEEE Transactions on Emerging Topics in Computing.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2015, Microelectron. Reliab..

Hamid Noori, Mehdi Kamal, Saeed Safari, 2011, 2011 IFIP 9th International Conference on Embedded and Ubiquitous Computing.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

David Atienza, Mehdi Kamal, Ali Afzali-Kusha, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mehdi Kamal, Ali Afzali-Kusha, Arman Iranfar, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2015, Integr..

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2016, Integr..

M. Tahar Kechadi, Mehdi Kamal, Ahcène Bounceur, 2014, SimuTools.

Mehdi Kamal, Shaahin Hessabi, Somayyeh Koohi, 2011, Microprocess. Microsystems.

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, IEEE transactions on neural networks and learning systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2018, ACM Trans. Design Autom. Electr. Syst..

Muhammad Shafique, Mehdi Kamal, Ali Afzali-Kusha, 2018, IEEE Micro.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2013, Microprocess. Microsystems.

Mehdi Kamal, Amin Farmahini Farahani, Mehdi Salmani Jelodar, 2006, International Symposium on Parallel Computing in Electrical Engineering (PARELEC'06).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Mehdi Kamal, Amin Farmahini Farahani, Sied Mehdi Fakhraie, 2007, GLSVLSI '07.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2013, 2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2011, 2011 Design, Automation & Test in Europe.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, ArXiv.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2017, Comput. Electr. Eng..

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2017, Comput. Electr. Eng..

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2016, Microelectron. Reliab..

Masoud Dehyadegari, Hamid Noori, Mehdi Kamal, 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

Mehdi Kamal, Shaahin Hessabi, Somayyeh Koohi, 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Hamid Mahmoodi, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Mehdi Kamal, Massoud Pedram, Marzieh Vaeztourshizi, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Mehdi Kamal, Massoud Pedram, Hassan Afzali-Kusha, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Massoud Pedram, Ali Afzali-Kusha, Mehdi Kamal, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2016, VLSI-SoC.

Mehdi Kamal, Massoud Pedram, Marzieh Vaeztourshizi, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2014, Microprocess. Microsystems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Massoud Pedram, Mehdi Kamal, Ali Afzali-Kusha, 2021, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, ACM Trans. Design Autom. Electr. Syst..

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, 2022, Neurocomputing.