Thomas Marconi

发表

Sorin Cotofana, Thomas Marconi, S. Cotofana, 2015, ACM Great Lakes Symposium on VLSI.

Tulika Mitra, Thomas Marconi, 2011, 2011 International Conference on Field-Programmable Technology.

Liang Chen, Tulika Mitra, Thomas Marconi, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sorin Cotofana, Thomas Marconi, George Razvan Voicu, 2017, 2017 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Fabrizio Ferrandi, Donatella Sciuto, Vlad Mihai Sima, 2012 .

Georgi Gaydadjiev, Koen Bertels, Yi Lu, 2009, ARC.

Sorin Cotofana, Emanuel M. Popovici, Christian Spagnol, 2014, VLSI-SoC.

Sorin Cotofana, Emanuel M. Popovici, Christian Spagnol, 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

Tulika Mitra, Thomas Marconi, Kaushik Triyambaka Mysur, 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC).

Georgi Gaydadjiev, Koen Bertels, Yi Lu, 2008, 2008 Design, Automation and Test in Europe.

Stamatis Vassiliadis, Koen Bertels, Ozana Silvia Dragomir, 2007, 2007 International Conference on Field-Programmable Technology.

Jae Young Hur, Georgi Gaydadjiev, Koen Bertels, 2010, 2010 IEEE 8th Symposium on Application Specific Processors (SASP).

Georgi Gaydadjiev, Koen Bertels, Thomas Marconi, 2014 .

Koen Bertels, Yi Lu, Thomas Marconi, 2009, 2009 International Conference on Field-Programmable Technology.

Georgi Gaydadjiev, Koen Bertels, Dimitris Theodoropoulos, 2010 .

Todor Stefanov, Koen Bertels, Thomas Marconi, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

Georgi Gaydadjiev, Koen Bertels, Yi Lu, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Valentin Savin, Sorin Cotofana, Thomas Marconi, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Georgi Gaydadjiev, Koen Bertels, Yi Lu, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Georgi Gaydadjiev, Koen Bertels, Dimitris Theodoropoulos, 2010, 2010 International Conference on Field-Programmable Technology.

Georgi Gaydadjiev, Koen Bertels, Thomas Marconi, 2010 .

Georgi Gaydadjiev, Koen Bertels, Yi Lu, 2008, 2008 Design, Automation and Test in Europe.