Irith Pomeranz

发表

Irith Pomeranz, Sudhakar M. Reddy, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Narendra Devta-Prasanna, Arun Gunda, 2010, 2010 IEEE International Test Conference.

Irith Pomeranz, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2008, J. Low Power Electron..

Irith Pomeranz, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Narendra Devta-Prasanna, Arun Gunda, 2005, IEEE International Conference on Test, 2005..

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings Tenth International Conference on VLSI Design.

Irith Pomeranz, Xiaoming Yu, Enamul Amyeen, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Irith Pomeranz, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Prasanti Uppaluri, 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.

Irith Pomeranz, 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

Irith Pomeranz, Nirmal R. Saxena, Richard Reeve, 1996, Proceedings International Test Conference 1996. Test and Design Validity.

Irith Pomeranz, Sudhakar M. Reddy, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Irith Pomeranz, 2020, 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1993 .

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 28th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1992, IEEE Trans. Computers.

Irith Pomeranz, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 14th IEEE European Test Symposium.

Irith Pomeranz, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2003, 2003 Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2005, Sixth international symposium on quality electronic design (isqed'05).

Irith Pomeranz, Sudhakar M. Reddy, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Irith Pomeranz, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1995, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2000, Proceedings 37th Design Automation Conference.

Irith Pomeranz, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Kozo Kinoshita, 1997, Proceedings Tenth International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, 2000, Proceedings of the Ninth Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Zhuo Zhang, 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2005, European Test Symposium (ETS'05).

Irith Pomeranz, Li Chen, Srinivas Patil, 2006, 2006 IEEE International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, Narendra Devta-Prasanna, 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, 2012, IET Comput. Digit. Tech..

Irith Pomeranz, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, Kohei Miyase, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2001, Proceedings 10th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Huaxing Tang, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Irith Pomeranz, I. Pomeranz, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2009, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, Chaowen Yu, 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

Irith Pomeranz, Sudhakar M. Reddy, 1993, ICCAD.

Irith Pomeranz, Sudhakar M. Reddy, Prasanti Uppaluri, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Kozo Kinoshita, 1993, 30th ACM/IEEE Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 1995, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Bernd Becker, 2012, 2012 International SoC Design Conference (ISOCC).

Irith Pomeranz, Sudhakar M. Reddy, Niraj K. Jha, 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

Irith Pomeranz, Sudhakar M. Reddy, 2004, IEEE Transactions on Computers.

Irith Pomeranz, Vamsi Boppana, W. Kent Fuchs, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Irith Pomeranz, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Irith Pomeranz, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Irith Pomeranz, Sudhakar M. Reddy, Wei Li, 2004, Proceedings. 41st Design Automation Conference, 2004..

Irith Pomeranz, I. Pomeranz, 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1995, Twenty-Fifth International Symposium on Fault-Tolerant Computing. Digest of Papers.

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

Irith Pomeranz, I. Pomeranz, 2013, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Irith Pomeranz, Sudhakar M. Reddy, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

Irith Pomeranz, Sudhakar M. Reddy, 1991, [1991] Digest of Papers. Fault-Tolerant Computing: The Twenty-First International Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IET Comput. Digit. Tech..

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Srikanth Venkataraman, Shraddha Bodhe, 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, Enamul Amyeen, 2004, 17th International Conference on VLSI Design. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, Hangkyu Lee, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2003, The Eighth IEEE European Test Workshop, 2003. Proceedings..

Irith Pomeranz, 2017, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, S. M. Reddy, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, 2014, IEEE Transactions on Computers.

Irith Pomeranz, I. Pomeranz, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Irith Pomeranz, Sudhakar M. Reddy, 2007, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 1991, 1991, Proceedings. International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, Kozo Kinoshita, 1994, Proceedings of IEEE VLSI Test Symposium.

Irith Pomeranz, Srikanth Venkataraman, Naixing Wang, 2019, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Ganesh Venkataraman, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, Kohei Miyase, 2004, IEICE Trans. Inf. Syst..

Irith Pomeranz, Zvi Kohavi, Tatiana Orenstein, 1995, J. Electron. Test..

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings Tenth International Conference on VLSI Design.

Irith Pomeranz, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1996, Proceedings of the Fifth Asian Test Symposium (ATS'96).

Irith Pomeranz, Yuan Lu, I. Pomeranz, 1996, Proceedings of 14th VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Irith Pomeranz, Kuen-Jong Lee, Bram Kruseman, 2014 .

Irith Pomeranz, I. Pomeranz, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Venkataramana Kommu, 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, Bo Yao, 2010, GLSVLSI '10.

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Chen Wang, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Irith Pomeranz, Sudhakar M. Reddy, Wei Li, 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Irith Pomeranz, Sudhakar M. Reddy, 1993, Proceedings of IEEE International Test Conference - (ITC).

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1993, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 19th IEEE Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1996, IEEE Trans. Computers.

Irith Pomeranz, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings Eleventh International Conference on VLSI Design.

Irith Pomeranz, 2015, IEEE Transactions on Computers.

Irith Pomeranz, Sudhakar M. Reddy, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Irith Pomeranz, Sudhakar M. Reddy, 1994, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, Sreejit Chakravarty, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yervant Zorian, Irith Pomeranz, Sudhakar M. Reddy, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Irith Pomeranz, Enamul Amyeen, Srikanth Venkataraman, 2008, 2008 17th Asian Test Symposium.

Irith Pomeranz, 2012, 2012 17th IEEE European Test Symposium (ETS).

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings 10th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2002, DAC '02.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1995, International Conference on Computer Aided Design.

Irith Pomeranz, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2003, 2003 Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 23rd International Conference on VLSI Design.

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Srikanth Venkataraman, Shraddha Bodhe, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2011, 2011 IEEE 17th Pacific Rim International Symposium on Dependable Computing.

Irith Pomeranz, Sudhakar M. Reddy, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Irith Pomeranz, Sudhakar M. Reddy, Kohei Miyase, 2003, TODE.

Irith Pomeranz, Sudhakar M. Reddy, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Irith Pomeranz, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, 31st Design Automation Conference.

Luigi Carro, Fernando Morgado Dias, Irith Pomeranz, 2013, J. Electron. Test..

Irith Pomeranz, Sudhakar M. Reddy, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2013, 2013 18th IEEE European Test Symposium (ETS).

Irith Pomeranz, Sudhakar M. Reddy, 2000, Proceedings of the Ninth Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Bernd Becker, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Irith Pomeranz, Sudhakar M. Reddy, Wu-Tung Cheng, 2000, Proceedings 18th IEEE VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Irith Pomeranz, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, IEEE Trans. Computers.

Irith Pomeranz, W. Kent Fuchs, Enamul Amyeen, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Srikanth Venkataraman, M. Enamul Amyeen, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Irith Pomeranz, S. M. Reddy, S. Reddy, 1993, Proceedings of 1993 IEEE 2nd Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Janak H. Patel, Irith Pomeranz, Sudhakar M. Reddy, 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.

Irith Pomeranz, Sudhakar M. Reddy, Yonsang Cho, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Narendra Devta-Prasanna, 2006, Eleventh IEEE European Test Symposium (ETS'06).

Irith Pomeranz, Sreejit Chakravarty, Narendra Devta-Prasanna, 2008, 2008 IEEE International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Irith Pomeranz, 2013, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 1997, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, Srikanth Venkataraman, 2004 .

Irith Pomeranz, Sudhakar M. Reddy, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, Sitaran Yadavalli, 1995, Proceedings of the 8th International Conference on VLSI Design.

Irith Pomeranz, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

Irith Pomeranz, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Irith Pomeranz, Sudhakar M. Reddy, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2004, IEEE Transactions on Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2005, IEEE Transactions on Dependable and Secure Computing.

Irith Pomeranz, Sudhakar M. Reddy, 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, Proceedings of IEEE 3rd Asian Test Symposium (ATS).

Irith Pomeranz, 2001, Proceedings 10th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2002, ICCAD 2002.

Irith Pomeranz, Narendra Devta-Prasanna, Arun Gunda, 2005, 14th Asian Test Symposium (ATS'05).

Irith Pomeranz, Enamul Amyeen, Srikanth Venkataraman, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings Design, Automation and Test in Europe.

Irith Pomeranz, Wu-Tung Cheng, Xijiang Lin, 2019, 2019 IEEE 28th Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Elizabeth M. Rudnick, Janak H. Patel, Irith Pomeranz, 1996, Proceedings International Test Conference 1996. Test and Design Validity.

Irith Pomeranz, Sudhakar M. Reddy, 2009, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Irith Pomeranz, Sudhakar M. Reddy, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, Srikanth Venkataraman, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, Sudhakar M. Reddy, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Giovanni Squillero, Matteo Sonza Reorda, 2017, IEEE Transactions on Computers.

Irith Pomeranz, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2008, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems.

Irith Pomeranz, Yuzo Takamatsu, Yoshinobu Higami, 2003, Proceedings 21st International Conference on Computer Design.

Irith Pomeranz, Y. Zonan, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

Irith Pomeranz, Sudhakar M. Reddy, 1992, The Fifth International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, Wei Zou, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Irith Pomeranz, Sudhakar M. Reddy, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.

Irith Pomeranz, Sudhakar M. Reddy, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, Proceedings of 7th International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1997, Proceedings European Design and Test Conference. ED & TC 97.

Irith Pomeranz, Sudhakar M. Reddy, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2006, 24th IEEE VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, Tsutomu Sasao, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Irith Pomeranz, Sudhakar M. Reddy, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.

Irith Pomeranz, Sudhakar M. Reddy, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Irith Pomeranz, Sandip Kundu, 2020, 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Irith Pomeranz, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Irith Pomeranz, Sudhakar M. Reddy, 2010, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1997, Proceedings Sixth Asian Test Symposium (ATS'97).

Irith Pomeranz, Sudhakar M. Reddy, 2000, DATE '00.

Irith Pomeranz, Sudhakar M. Reddy, Kozo Kinoshita, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

Irith Pomeranz, Xijiang Lin, I. Pomeranz, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2016, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2008, 2008 13th European Test Symposium.

Irith Pomeranz, Narendra Devta-Prasanna, Arun Gunda, 2005, 2005 International Conference on Computer Design.

Irith Pomeranz, Sudhakar M. Reddy, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 2001, Proceedings 10th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2008, 2008 Design, Automation and Test in Europe.

Irith Pomeranz, Sudhakar M. Reddy, 1996, Proceedings of 9th International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, Kohei Miyase, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Irith Pomeranz, Vivek Chickermane, Srikanth Venkataraman, 2019, 2019 IEEE 37th VLSI Test Symposium (VTS).

Irith Pomeranz, Kwang-Ting Cheng, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 1996, Proceedings of 14th VLSI Test Symposium.

Irith Pomeranz, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Irith Pomeranz, Sudhakar M. Reddy, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Irith Pomeranz, 2019, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Irith Pomeranz, Sudhakar M. Reddy, 1999, Proceedings Ninth Great Lakes Symposium on VLSI.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1998, Proceedings Design, Automation and Test in Europe.

Irith Pomeranz, I. Pomeranz, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Srikanth Venkataraman, 2004, 2004 International Conferce on Test.

Irith Pomeranz, Sudhakar M. Reddy, L. N. Reddy, 1992, Proceedings Euro ASIC '92.

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings of the 8th Great Lakes Symposium on VLSI (Cat. No.98TB100222).

Gang Chen, Irith Pomeranz, Sudhakar M. Reddy, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2003, ICCAD.

Irith Pomeranz, Sudhakar M. Reddy, Lakshmi N. Reddy, 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1997, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, Nadir Z. Basturkmen, 2002, Proceedings. International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2007, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 2009, GLSVLSI '09.

Irith Pomeranz, Sudhakar M. Reddy, Wei Li, 2003 .

Irith Pomeranz, Srinivas Patil, Suriyaprakash Natarajan, 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, Matteo Sonza Reorda, Marco Gaudesi, 2015, 2015 20th IEEE European Test Symposium (ETS).

Irith Pomeranz, Sudhakar M. Reddy, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2000, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Irith Pomeranz, 2013, Int. J. Crit. Comput. Based Syst..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, Proceedings of IEEE 3rd Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

Irith Pomeranz, Srikanth Venkataraman, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Irith Pomeranz, Sudhakar M. Reddy, 2008, 2008 Asia and South Pacific Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Venkataramana Kommu, 1992, ICPP.

Irith Pomeranz, Sudhakar M. Reddy, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1998, Integr..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, Proceedings., International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Zhuo Zhang, 2007, 2007 Asia and South Pacific Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, 2019, 2019 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1997 .

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1996, IEEE Trans. Computers.

Irith Pomeranz, 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, 2008, 2008 Asia and South Pacific Design Automation Conference.

Irith Pomeranz, Sreejit Chakravarty, Narendra Devta-Prasanna, 2008, 2008 14th IEEE International On-Line Testing Symposium.

Irith Pomeranz, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings Design, Automation and Test in Europe.

Irith Pomeranz, 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Irith Pomeranz, 2014, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 22nd International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Irith Pomeranz, Sudhakar M. Reddy, 1993, 30th ACM/IEEE Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Irith Pomeranz, Sudhakar M. Reddy, Kozo Kinoshita, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Irith Pomeranz, Sudhakar M. Reddy, Bernd Becker, 2011, 2011 Design, Automation & Test in Europe.

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Bashir M. Al-Hashimi, Janusz Rajski, 2006, ETS.

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Bo Yao, Arani Sinha, 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

Irith Pomeranz, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2011, 2011 Sixteenth IEEE European Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Yonsang Cho, 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

Irith Pomeranz, Sudhakar M. Reddy, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, S. M. Reddy, 1995, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, Chaowen Yu, 2004, 13th Asian Test Symposium.

Irith Pomeranz, Sreejit Chakravarty, Narendra Devta-Prasanna, 2009, 2009 Asia and South Pacific Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, Rohit Kapur, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Irith Pomeranz, 2020, 2020 IEEE International Test Conference (ITC).

Irith Pomeranz, 2012, IEEE Transactions on Computers.

Irith Pomeranz, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Michael S. Hsiao, Irith Pomeranz, Nur A. Touba, 2006, WOWMOM.

Irith Pomeranz, Sudhakar M. Reddy, Chris C. N. Chu, 2003, VLSI-SOC.

Irith Pomeranz, Enamul Amyeen, Srikanth Venkataraman, 2010, 2010 28th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Irith Pomeranz, Sudhakar M. Reddy, 2009, GLSVLSI '09.

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Irith Pomeranz, Sudhakar M. Reddy, 2007, Electron. Notes Theor. Comput. Sci..

Irith Pomeranz, Sudhakar M. Reddy, 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Irith Pomeranz, 2017, 2017 IEEE 26th Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, 2000, J. Electron. Test..

Irith Pomeranz, Sudhakar M. Reddy, 1993, The Sixth International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, Bernd Becker, 2008, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems.

Irith Pomeranz, 2004, Proceedings. 41st Design Automation Conference, 2004..

Irith Pomeranz, Zvi Kohavi, I. Pomeranz, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

Irith Pomeranz, Sudhakar M. Reddy, Srikanth Venkataraman, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Irith Pomeranz, 2020, 2020 IEEE 38th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1995, Proceedings of the Fourth Asian Test Symposium.

Irith Pomeranz, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

Irith Pomeranz, Sudhakar M. Reddy, 2010, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 1998, IEEE Trans. Very Large Scale Integr. Syst..

Irith Pomeranz, 2011, 2011 IEEE 17th Pacific Rim International Symposium on Dependable Computing.

Irith Pomeranz, Sudhakar M. Reddy, 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Irith Pomeranz, Sudhakar M. Reddy, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings Great Lakes Symposium on VLSI.

Yervant Zorian, Irith Pomeranz, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2012, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, Lakshmi N. Reddy, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1997, Proceedings European Design and Test Conference. ED & TC 97.

Irith Pomeranz, Sudhakar M. Reddy, 1997, ICCAD 1997.

Irith Pomeranz, 2013, IEEE Transactions on Computers.

Irith Pomeranz, Sudhakar M. Reddy, Chen Liu, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Yervant Zorian, Irith Pomeranz, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2020, 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Irith Pomeranz, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1996, Proceedings of the Fifth Asian Test Symposium (ATS'96).

Irith Pomeranz, Sudhakar M. Reddy, 2007, 12th IEEE European Test Symposium (ETS'07).

Irith Pomeranz, Sudhakar M. Reddy, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Irith Pomeranz, Sudhakar M. Reddy, 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

Irith Pomeranz, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Irith Pomeranz, Sudhakar M. Reddy, 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Irith Pomeranz, Sudhakar M. Reddy, 1995, Proceedings of EURO-DAC. European Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2001 .

Irith Pomeranz, 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

Irith Pomeranz, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Venkataramana Kommu, 1999 .

Irith Pomeranz, Sudhakar M. Reddy, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Enamul Amyeen, Srikanth Venkataraman, 2007, 2007 IEEE International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2004, 13th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 2003, J. Electron. Test..

Irith Pomeranz, Sudhakar M. Reddy, 1994, 31st Design Automation Conference.

Irith Pomeranz, T. N. Vijaykumar, Mohamed A. Gomaa, 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 23rd International Conference on VLSI Design.

Irith Pomeranz, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Nitin, T. N. Vijaykumar, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Irith Pomeranz, Sudhakar M. Reddy, 1992, ICCAD.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1998, Proceedings Eleventh International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Srikanth Venkataraman, Brady Benware, 2018, 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Irith Pomeranz, 2017, 2017 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 2000, Proceedings of the Ninth Asian Test Symposium.

Irith Pomeranz, Bernd Becker, Ilia Polian, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Irith Pomeranz, Sudhakar M. Reddy, 2009, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, 2006, ICCAD.

Irith Pomeranz, Sudhakar M. Reddy, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Prasanti Uppaluri, 1993, 30th ACM/IEEE Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, Xiaoxin Fan, 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Sreejit Chakravarty, 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Gang Chen, Irith Pomeranz, Sudhakar M. Reddy, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Irith Pomeranz, Sudhakar M. Reddy, 2011, IET Comput. Digit. Tech..

Irith Pomeranz, Bo Yao, Xijiang Lin, 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Irith Pomeranz, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Irith Pomeranz, Sudhakar M. Reddy, 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 2001, Proceedings 10th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2008, 2008 Design, Automation and Test in Europe.

Irith Pomeranz, Sudhakar M. Reddy, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2020, IET Comput. Digit. Tech..

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Irith Pomeranz, T. N. Vijaykumar, Karl Cheng, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

Irith Pomeranz, Sudhakar M. Reddy, Enamul Amyeen, 2006, 24th IEEE VLSI Test Symposium.

Irith Pomeranz, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Irith Pomeranz, Sudhakar M. Reddy, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Irith Pomeranz, Sudhakar M. Reddy, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1991, Fault-Tolerant Computing Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 15th IEEE European Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2004, IEEE Trans. Very Large Scale Integr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

Irith Pomeranz, 2012, 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, Sudhakar M. Reddy, 2006, Eleventh IEEE European Test Symposium (ETS'06).

Irith Pomeranz, Sudhakar M. Reddy, R. Tangirala, 1992, [1992] Proceedings The European Conference on Design Automation.

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 28th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.

Irith Pomeranz, Zvi Kohavi, 1991, J. Electron. Test..

Irith Pomeranz, Sudhakar M. Reddy, 1992, Proceedings First Asian Test Symposium (ATS `92).

Irith Pomeranz, Sudhakar M. Reddy, Srikanth Venkataraman, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2003, ICCAD 2003.

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Bashir M. Al-Hashimi, 2006, Eleventh IEEE European Test Symposium (ETS'06).

Irith Pomeranz, Sudhakar M. Reddy, Lakshmi N. Reddy, 1991, 1991, Proceedings. International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2005, IEEE International Conference on Test, 2005..

Irith Pomeranz, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2012, 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, S. M. Reddy, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Irith Pomeranz, 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

Irith Pomeranz, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings Sixth Asian Test Symposium (ATS'97).

Irith Pomeranz, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Uwe Sparmann, Prasanti Uppaluri, 1996, Proceedings of 14th VLSI Test Symposium.

Irith Pomeranz, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Irith Pomeranz, Bashir M. Al-Hashimi, Sudhakar M. Reddy, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2004, IEEE Transactions on Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2004, 17th International Conference on VLSI Design. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, Hangkyu Lee, 2006, 24th IEEE VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara, 1995, Proceedings 13th IEEE VLSI Test Symposium.

Irith Pomeranz, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2009, IEEE Transactions on Dependable and Secure Computing.

Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 Asia and South Pacific Design Automation Conference.

Irith Pomeranz, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1996, Proceedings ED&TC European Design and Test Conference.

Irith Pomeranz, 2004, Proceedings. 41st Design Automation Conference, 2004..

Irith Pomeranz, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Nadir Z. Basturkmen, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2001, Sixth IEEE International High-Level Design Validation and Test Workshop.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, M. Enamul Amyeen, I. Pomeranz, 2020, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Irith Pomeranz, 2018, 2018 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Srikanth Venkataraman, 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

Irith Pomeranz, Sudhakar M. Reddy, 2007, TODE.

Yervant Zorian, Irith Pomeranz, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Gang Chen, Irith Pomeranz, Sudhakar M. Reddy, 2005, Design, Automation and Test in Europe.

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Irith Pomeranz, Sudhakar M. Reddy, 2010, J. Low Power Electron..

Irith Pomeranz, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Sreejit Chakravarty, 2008, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems.

Gang Chen, Irith Pomeranz, Sudhakar M. Reddy, 2005, European Test Symposium (ETS'05).

Irith Pomeranz, Sudhakar M. Reddy, 1994, ICCAD.

Irith Pomeranz, Sudhakar M. Reddy, 2005, Design, Automation and Test in Europe.

Irith Pomeranz, Sudhakar M. Reddy, 2004, 13th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 1993, ICCAD.

Irith Pomeranz, Sudhakar M. Reddy, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Vamsi Boppana, W. Kent Fuchs, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2006, 2006 IEEE International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2003, Proceedings 21st International Conference on Computer Design.

Irith Pomeranz, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Irith Pomeranz, Sudhakar M. Reddy, Wei Li, 2003, DAC '03.

Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Irith Pomeranz, Sudhakar M. Reddy, Nadir Z. Basturkmen, 2002, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002).

Irith Pomeranz, Sudhakar M. Reddy, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Irith Pomeranz, Sudhakar M. Reddy, Yun Shao, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Irith Pomeranz, Sudhakar M. Reddy, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Bernd Becker, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Irith Pomeranz, Sudhakar M. Reddy, 2011, J. Low Power Electron..

Irith Pomeranz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1995, 32nd Design Automation Conference.

Irith Pomeranz, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2007, IEEE Design & Test of Computers.

Irith Pomeranz, Sudhakar M. Reddy, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, Sudhakar M. Reddy, 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

Irith Pomeranz, Srikanth Venkataraman, Shraddha Bodhe, 2017, 2017 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Yun Shao, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Irith Pomeranz, Srikanth Venkataraman, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

Irith Pomeranz, Sudhakar M. Reddy, Chaowen Yu, 2005, 14th Asian Test Symposium (ATS'05).

Irith Pomeranz, Vamsi Boppana, W. Kent Fuchs, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2020, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, Srikanth Venkataraman, M. Enamul Amyeen, 2017, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, I. Pomeranz, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2019, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, Hangkyu Lee, 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

Irith Pomeranz, I. Pomeranz, 2017, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Irith Pomeranz, Sudhakar M. Reddy, 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.

Irith Pomeranz, Sudhakar M. Reddy, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, Sreejit Chakravarty, Narendra Devta-Prasanna, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Irith Pomeranz, Sudhakar M. Reddy, 2010, 2010 IEEE 16th International On-Line Testing Symposium.

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, Nadir Z. Basturkmen, 2003, J. Electron. Test..

Irith Pomeranz, Sudhakar M. Reddy, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2002, ICCAD 2002.

Irith Pomeranz, Sudhakar M. Reddy, 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1998, IEEE Trans. Computers.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1993, IEEE Trans. Computers.

Irith Pomeranz, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Irith Pomeranz, W. Kent Fuchs, 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 Asian Test Symposium.

Irith Pomeranz, S. M. Reddy, S. Reddy, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Irith Pomeranz, Sudhakar M. Reddy, 2000, Proceeding International Conference on Dependable Systems and Networks. DSN 2000.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2006, 2006 IEEE International Test Conference.

Janak H. Patel, Irith Pomeranz, Sudhakar M. Reddy, 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

Irith Pomeranz, Yuzo Takamatsu, Yoshinobu Higami, 2006, IEICE Trans. Inf. Syst..

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Irith Pomeranz, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1998, FTCS.

Irith Pomeranz, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Irith Pomeranz, 2020, 2020 IEEE 38th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1991, 28th ACM/IEEE Design Automation Conference.

Irith Pomeranz, I. Pomeranz, 2015, IEEE Transactions on Computers.

Irith Pomeranz, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Irith Pomeranz, 2015, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

Irith Pomeranz, 2013, IEEE Transactions on Computers.

Irith Pomeranz, I. Pomeranz, 2019, 2019 IEEE 37th VLSI Test Symposium (VTS).

Irith Pomeranz, Sudhakar M. Reddy, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, 2012, 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, Sudhakar M. Reddy, Wei Li, 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

Irith Pomeranz, Sudhakar M. Reddy, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2005, Design, Automation and Test in Europe.

Irith Pomeranz, Sudhakar M. Reddy, 2009, GLSVLSI '09.

Irith Pomeranz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2011, 2011 Design, Automation & Test in Europe.

Irith Pomeranz, Sudhakar M. Reddy, 2007, 2007 IEEE International Test Conference.

Irith Pomeranz, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Irith Pomeranz, Sudhakar M. Reddy, 2000, Proceedings 2000 International Conference on Computer Design.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Irith Pomeranz, Kwang-Ting Cheng, K. Cheng, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

Irith Pomeranz, Sudhakar M. Reddy, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Irith Pomeranz, Sudhakar M. Reddy, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.

Gang Chen, Irith Pomeranz, Sudhakar M. Reddy, 2003, Proceedings 21st International Conference on Computer Design.

Irith Pomeranz, Sudhakar M. Reddy, Lakshmi N. Reddy, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Gang Chen, Irith Pomeranz, Sudhakar M. Reddy, 2009, 2009 Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

Irith Pomeranz, Sudhakar M. Reddy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2017, 2017 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Rahul Jain, 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.

Irith Pomeranz, 2018, 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, Sudhakar M. Reddy, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Irith Pomeranz, 2014, 2014 19th IEEE European Test Symposium (ETS).

Irith Pomeranz, Sudhakar M. Reddy, 2000, Proceedings. 2000 Pacific Rim International Symposium on Dependable Computing.

Irith Pomeranz, Sudhakar M. Reddy, S. Reddy, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Irith Pomeranz, Ilia Polian, Bernd Becker, 2008 .

Irith Pomeranz, T. N. Vijaykumar, Mohamed A. Gomaa, 2003, IEEE Micro.

Irith Pomeranz, 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

Irith Pomeranz, 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

Irith Pomeranz, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, W. Kent Fuchs, P. G. Ryan, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Irith Pomeranz, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2021, 2021 IEEE 39th VLSI Test Symposium (VTS).

Irith Pomeranz, 2021, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, 2021, 2021 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Irith Pomeranz, 2021, ACM Trans. Design Autom. Electr. Syst..

Irith Pomeranz, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Irith Pomeranz, 2021, 2021 IEEE 30th Asian Test Symposium (ATS).

Irith Pomeranz, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Irith Pomeranz, Sudhakar M. Reddy, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.