P. Schuddinck

发表

P. Wambacq, A. Mercha, G. Van der Plas, 2013, 2013 IEEE International Electron Devices Meeting.

P. Wambacq, A. Mercha, J. Ryckaert, 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

Diederik Verkest, Naoto Horiguchi, Aaron Thean, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

A. Mercha, D. Verkest, P. Raghavan, 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

Diederik Verkest, Aaron Thean, Praveen Raghavan, 2015, 2015 International Conference on IC Design & Technology (ICICDT).

J. Ryckaert, D. Verkest, P. Weckx, 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

Diederik Verkest, Aaron Thean, Praveen Raghavan, 2015, 2015 International Conference on IC Design & Technology (ICICDT).

W. Dehaene, B. Kaczer, P. Weckx, 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

Diederik Verkest, Naoto Horiguchi, Aaron Thean, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

G. Bouche, J. Ryckaert, D. Mocuta, 2018, 2018 IEEE Symposium on VLSI Technology.

Diederik Verkest, Peter Debacker, Praveen Raghavan, 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

J. Ryckaert, T. Chiarella, N. Horiguchi, 2020, 2020 IEEE Symposium on VLSI Technology.

J. Ryckaert, D. Verkest, P. Weckx, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

J. Ryckaert, D. Verkest, P. Weckx, 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

B. Parvais, D. Verkest, Y. Sherazi, 2018, 2018 IEEE Symposium on VLSI Technology.

Diederik Verkest, Peter Debacker, Julien Ryckaert, 2017, Advanced Lithography.

J. Ryckaert, D. Verkest, M. Badaroglu, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

A. Mercha, D. Verkest, M. Badaroglu, 2012, International Electron Devices Meeting.

A. Mercha, G. Van der Plas, D. Verkest, 2013, 2013 Symposium on VLSI Circuits.