Hong-Yu Chen

发表

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Peng Huang, Jinfeng Kang, Zheng Zhou, 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

Shimeng Yu, Bing Chen, Peng Huang, 2014, Proceedings of Technical Program - 2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

Shimeng Yu, Peng Huang, Jinfeng Kang, 2012, 2012 International Electron Devices Meeting.

Yuan-Kai Wang, Hou-Chang Chiu, Jian-Ru Chen, 2013, 2013 International Conference on Machine Learning and Cybernetics.

Shimeng Yu, Bing Chen, Peng Huang, 2014, 2014 IEEE 6th International Memory Workshop (IMW).

Tuo-Hung Hou, Liang Zhao, Yoshio Nishi, 2014, Proceedings of Technical Program - 2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

Georges G. E. Gielen, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Shimeng Yu, Bing Chen, Peng Huang, 2014, 2014 IEEE International Reliability Physics Symposium.

Hai Wei, Georges G. E. Gielen, H.-S. Philip Wong, 2014, IEEE Journal of Solid-State Circuits.

Shimeng Yu, Bing Chen, H.-S. Philip Wong, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Georges G. E. Gielen, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Jau-Ling Shih, Hong-Yu Chen, Jau-Ling Shih, 2009, Multimedia Tools and Applications.

Shimeng Yu, Bing Chen, Jinfeng Kang, 2013, 2013 IEEE International Electron Devices Meeting.

Chih-Yueh Wang, Hong-Yu Chen, Chen-Yang Yin, 2011, Int. J. Comput. Sci. Eng..

Hong-Yu Chen, Jian-Ming Qi, Hong-yu. Chen, 2012, Inf. Process. Lett..

Ching-Tang Hsieh, Yeh-Kuang Wu, Chia-Yi Chen, 2004, IASSE.

Shimeng Yu, Bing Chen, H.-S. Philip Wong, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Hai Wei, H.-S. Philip Wong, Lan Wei, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shimeng Yu, Bing Chen, Peng Huang, 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

Jiale Liang, Rakesh Gnana David Jeyasingh, Hong-Yu Chen, 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

H.-S. Philip Wong, Yoshio Nishi, Hong-Yu Chen, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

Hai Wei, Jie Zhang, H.-S. Philip Wong, 2011, 2011 International Electron Devices Meeting.

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2011, 2011 International Electron Devices Meeting.

Jiale Liang, Hong-Yu Chen, R. G. D. Jeyasingh, 2012, IEEE Transactions on Electron Devices.

Jiale Liang, Hong-Yu Chen, Zizhen Jiang, 2015, IEEE Transactions on Electron Devices.

Ali Javey, Hong-Yu Chen, Arash Hazeghi, 2010, 2010 International Electron Devices Meeting.

Shimeng Yu, Hong-Yu Chen, Yang Chai, 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

Shimeng Yu, Ali Javey, Hong-Yu Chen, 2010, 2010 International Electron Devices Meeting.

Shimeng Yu, Jinfeng Kang, Rui Liu, 2014, IEEE Transactions on Electron Devices.

H.-S. Philip Wong, Yoshio Nishi, Hong-Yu Chen, 2017, IEEE Electron Device Letters.

Hai Wei, Subhasish Mitra, Hong-Yu Chen, 2011, Nano letters.

Hai Wei, Jie Zhang, Subhasish Mitra, 2010, 2010 Symposium on VLSI Technology.

Davood Shahrjerdi, Wilfried Haensch, Hong-Yu Chen, 2012, ACS nano.

Hong-Yu Chen, Yang Chai, K. Takei, 2012, IEEE Transactions on Electron Devices.

Shimeng Yu, Ali Javey, Hong-Yu Chen, 2011, IEEE Transactions on Electron Devices.