Ching-Te Chiu

发表

K. J. Ray Liu, Ching-Te Chiu, Ravi K. Kolagotla, 1994, IEEE Trans. Circuits Syst. Video Technol..

Ching-Te Chiu, Chen-Jung Wu, C. Chiu, 2017, 2017 IEEE International Workshop on Signal Processing Systems (SiPS).

Ching-Te Chiu, Cheyu Wu, Yar-Sun Hsu, 2014, 2014 IEEE International Conference on Consumer Electronics - Taiwan.

Ching-Te Chiu, Loyon Kuo, Tsun-Hsien Wang, 2014, 2014 IEEE Global Conference on Signal and Information Processing (GlobalSIP).

Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Lin, Ching-Te Chiu, 2013, 2013 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference.

Ching-Te Chiu, Tsun-Hsien Wang, Wei-Ming Ke, 2007, 2007 IEEE International Conference on Image Processing.

Ching-Te Chiu, Tsun-Hsien Wang, Jen-Wen Wang, 2015, 2015 IEEE Global Conference on Signal and Information Processing (GlobalSIP).

Ching-Te Chiu, Jen-Ming Wu, Hung-Yu Lin, 2012, J. Signal Process. Syst..

Ching-Te Chiu, Ren-Song Tsay, Tsun-Hsien Wang, 2008, 2008 IEEE Workshop on Signal Processing Systems.

Ching-Te Chiu, Hsin-Hung Chou, Yung-Chang Chang, 2019, J. Syst. Archit..

Ching-Te Chiu, Jen-Ming Wu, Yu-Ho Hsu, 2005, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05).

Ching-Te Chiu, Tsun-Hsien Wang, Wei-Chen Wu, 2015, J. Signal Process. Syst..

Ching-Te Chiu, Wei-Ming Ke, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Ching-Te Chiu, Kuan-Hung Shih, Jiou-Ai Lin, 2020, IEEE Transactions on Neural Networks and Learning Systems.

Ching-Te Chiu, Jen-Ming Wu, Min-Sheng Kao, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Ching-Te Chiu, Chia-Ho Hsu, Chia-Yu Kuan, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Li-Ren Huang, Chih-Jen Yang, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Ching-Te Chiu, Yi-Kang Shen, 2015, 2015 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Yarsun Hsu, Tsai-Te Chu, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Ching-Te Chiu, Jou Lin, C. Chiu, 2017, IET Image Process..

K. J. Ray Liu, Ching-Te Chiu, K. J. Liu, 1992, IEEE Trans. Circuits Syst. Video Technol..

Ching-Te Chiu, Cihun-Siyong Alex Gong, Yung-Chang Chang, 2020, J. Signal Process. Syst..

Ching-Te Chiu, Wei-Chiu Liu, Zhan-Yao Gu, 2012, 2012 International Conference on Connected Vehicles and Expo (ICCVE).

Ching-Te Chiu, Shawn S. H. Hsu, Min-Sheng Kao, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ching-Te Chiu, Tsun-Hsien Wang, C. Chiu, 2011, 2011 18th IEEE International Conference on Image Processing.

Ching-Te Chiu, Cyuan-Jhe Wu, 2011, 2011 18th IEEE International Conference on Image Processing.

Jenq-Neng Hwang, Jen-Shun Yang, Ching-Te Chiu, 2011, 2011 IEEE Global Telecommunications Conference - GLOBECOM 2011.

Ching-Te Chiu, Chao-Tsung Huang, Ching-Chen Wang, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Jen-Ming Wu, Yarsun Hsu, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Ching-Te Chiu, Jing-Jia Liou, Chun-Yi Lin, 2015, IEEE Transactions on Multimedia.

Ching-Te Chiu, Hou-Cheng Tzeng, 2006, Fifth IEEE International Symposium on Network Computing and Applications (NCA'06).

Ching-Te Chiu, Tsun-Hsien Wang, 2007, 2007 IEEE International Conference on Multimedia and Expo.

Ching-Te Chiu, Wen-Te Liu, Ying-Fang Tsao, 2013, 2013 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference.

Ching-Te Chiu, Yao-Tsung Yang, 2014, 2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Chih Hsing Lin, Jia Shiuan Tsai, 2010, ICASSP.

Ching-Te Chiu, Tzu-Ying Lin, Ching-Tung Tang, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Chih-Hsing Lin, Ching-Te Chiu, Jia Shiuan Tsai, 2010, IEEE Transactions on Image Processing.

Mau-Chung Frank Chang, Ching-Te Chiu, Min-Sheng Kao, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Ching-Te Chiu, Chu-Chiao Liao, 2016, 2016 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Yung-Chang Chang, 2012, 2012 IEEE 14th International Conference on High Performance Computing and Communication & 2012 IEEE 9th International Conference on Embedded Software and Systems.

Ching-Te Chiu, Yi-Chan Wu, 2017, 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Pei-Yin Chou, 2018, 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Wei-Ming Ke, Chih-Rung Chen, 2011, IEEE Transactions on Circuits and Systems for Video Technology.

Ching-Te Chiu, Jen-Wen Wang, C. Chiu, 2014, 2014 IEEE Global Conference on Signal and Information Processing (GlobalSIP).

Chih-Hsing Lin, Ching-Te Chiu, 2008, 2008 15th IEEE International Conference on Electronics, Circuits and Systems.

Ching-Te Chiu, Jie-Yu Luo, Wen-Yi Lo, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Ruei-Jiun Wang, 2011, 2011 IEEE Workshop on Signal Processing Systems (SiPS).

Ching-Te Chiu, I-Cheng Tsai, 2013, 2013 IEEE International Conference on Acoustics, Speech and Signal Processing.

Ching-Te Chiu, Wei-Su Wong, Chih-Rung Chen, 2009, 2009 16th IEEE International Conference on Image Processing (ICIP).

Ching-Te Chiu, Tsun-Hsien Wang, Wei-Ming Ke, 2009, 2009 16th IEEE International Conference on Image Processing (ICIP).

Ching-Te Chiu, Wei-Su Wong, Chih-Rung Chen, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chih-Hsing Lin, Ching-Te Chiu, Wen-Chih Huang, 2011, 2011 IEEE Workshop on Signal Processing Systems (SiPS).

Ching-Te Chiu, Tsun-Hsien Wang, Fang-Chu Chen, 2007, 2007 IEEE International Conference on Image Processing.

Ching-Te Chiu, Ching-Chen Wang, Jheng-Yi Chang, 2020, Journal of Signal Processing Systems.

Ching-Te Chiu, Jen-Wen Wang, C. Chiu, 2018, J. Signal Process. Syst..

K. J. Ray Liu, Ching-Te Chiu, Chin-Fong Chiu, 1994 .

Ching-Te Chiu, Cyuan-Jhe Wu, Po-Ting Yeh, 2010, 2010 IEEE Workshop On Signal Processing Systems.

Ching-Te Chiu, Tsai-Te Chu, 2016, 2016 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Jou Lin, C. Chiu, 2017, 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Yarsun Hsu, Che-Yu Wu, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Ching-Te Chiu, Kui Liu, 1992, [Proceedings] ICASSP-92: 1992 IEEE International Conference on Acoustics, Speech, and Signal Processing.

Ching-Te Chiu, Wei-Chih Lai, 2012, 2012 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Lien-Chih Hsu, Kuan-Ting Lin, 2020, J. Syst. Archit..

Ching-Te Chiu, Jen-Ming Wu, Yarsun Hsu, 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

Ching-Te Chiu, Shen-Li Lo, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Cheng-Shang Chang, Duan-Shin Lee, Ching-Te Chiu, 2005, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005..

Ching-Te Chiu, Shih-Yin Lin, Chung-Kai Liu, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Yin-Tsung Hwang, Ching-Te Chiu, Lei Wang, 2015, J. Signal Process. Syst..

Ching-Te Chiu, Yen Wen Chien, I-Zan Wu, 2015, 2015 IEEE Workshop on Signal Processing Systems (SiPS).

K. J. Ray Liu, Ching-Te Chiu, K. J. Liu, 1993, IEEE Trans. Signal Process..

Ching-Te Chiu, Chih-Rung Chen, 2011, 2011 18th IEEE International Conference on Image Processing.

Ching-Te Chiu, Yarsun Hsu, Hsiang-Ju Hsu, 2007, SoCC.

Ching-Te Chiu, Jen-Ming Wu, Yarsun Hsu, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Ching-Te Chiu, Kuang-Chien Li, Shan-Chien Hsiao, 2020, 2020 IEEE Workshop on Signal Processing Systems (SiPS).

Ching-Te Chiu, Meng-Chieh Wu, Kun-Hsuan Wu, 2019, ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Kuan-Ting Lin, Lien-Chih Hsu, 2019, 2019 IEEE International Conference on Image Processing (ICIP).

Rong Yang, Ching-Te Chiu, Ren-Song Tsay, 2008, 2008 15th IEEE International Conference on Image Processing.

Ching-Te Chiu, Yu-Fu Mai, 2017, 2017 International Conference on Computing, Networking and Communications (ICNC).

Chih-Hsing Lin, Ching-Te Chiu, Wen-Chih Huang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ching-Te Chiu, Kuan-Hung Shih, Yen-Yu Pu, 2019, ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Wei-Chih Lai, 2013, J. Signal Process. Syst..

Chun-Ming Huang, Chih-Chyau Yang, Chih-Hsing Lin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Chih-Hsing Lin, Ching-Te Chiu, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Ching-Te Chiu, Yung-Chang Chang, Ting-Yun Hsiao, 2018, 2018 IEEE International Workshop on Signal Processing Systems (SiPS).

Ching-Te Chiu, Jen-Ming Wu, Hung-Yu Lin, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Ching-Te Chiu, Huai-Mao Weng, C. Chiu, 2018, 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Ching-Te Chiu, Tsun-Hsien Wang, Wei-Chen Wu, 2013, SiPS 2013 Proceedings.

Ching-Te Chiu, Jen-Ming Wu, Yu-Hao Hsu, 2007, 2007 Asia and South Pacific Design Automation Conference.

Ching-Te Chiu, Tsun-Hsien Wang, Wei-Chen Wu, 2013, 2013 IEEE International Conference on Image Processing.

Ching-Te Chiu, Jiou-Ai Lin, Yen-Yu Cheng, 2021, Journal of Signal Processing Systems.