S. Mathew

发表

R. Krishnamurthy, S. Borkar, M. Anders, 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

R. Krishnamurthy, A. Agarwal, S. Borkar, 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

R. Krishnamurthy, S. Borkar, M. Anders, 2007, 2007 IEEE Symposium on VLSI Circuits.

R. Krishnamurthy, S. Borkar, M. Anders, 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

S. Vangal, N. Borkar, S. Mathew, 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

R. Krishnamurthy, M. Anders, S. Mathew, 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

A. Alvandpour, S. Borkar, S. Mathew, 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

R. Krishnamurthy, S. Borkar, M. Anders, 2004, Proceedings of the 2004 IEEE Dallas/CAS Workshop Implementation of High Performance Circuits.