Haocong Luo

发表

O. Mutlu, Minesh Patel, A. G. Yaglikçi, 2022, ArXiv.

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2022, 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Onur Mutlu, Hasan Hassan, Lois Orosa, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Yahya Can Tugrul, O. Mutlu, Minesh Patel, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2022, ArXiv.

O. Mutlu, Minesh Patel, Hasan Hassan, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Yaohua Wang, Saugata Ghose, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Oguz Ergin, Abdullah Giray Yaglikçi, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Yahya Can Tugrul, O. Mutlu, A. G. Yaglikçi, 2023, 2023 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks - Supplemental Volume (DSN-S).

Yahya Can Tugrul, O. Mutlu, Minesh Patel, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

João Dinis Ferreira, Geraldo F. Oliveira, Nika Mansouri Ghiasi, 2022 .

Yahya Can Tugrul, O. Mutlu, A. G. Yaglikçi, 2023, ISCA.

Yahya Can Tugrul, O. Mutlu, Minesh Patel, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Geraldo F. Oliveira, Ismail Emir Yüksel, A. G. Yaglikçi, 2024, 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Yahya Can Tugrul, Juan Gómez Luna, Ataberk Olgun, 2023, 2310.14665.

Geraldo F. Oliveira, Ismail Emir Yuksel, Yahya Can Tugrul, 2023, USENIX Security Symposium.

Yahya Can Tugrul, O. Mutlu, A. G. Yaglikçi, 2023, IEEE Computer Architecture Letters.