Priyank Kalla

发表

Tim Pruss, Priyank Kalla, Florian Enescu, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Priyank Kalla, Utkarsh Gupta, Vikas Rao, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Priyank Kalla, Florian Enescu, Jinpeng Lv, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Steve Blair, Priyank Kalla, Christopher Condrat, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Priyank Kalla, Florian Enescu, Sivaram Gopalakrishnan, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Steve Blair, Priyank Kalla, Christopher Condrat, 2013, 2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS).

Priyank Kalla, Florian Enescu, Utkarsh Gupta, 2018, 2018 Formal Methods in Computer Aided Design (FMCAD).

Steve Blair, Priyank Kalla, Christopher Condrat, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Robert Wille, Rolf Drechsler, Priyank Kalla, 2018, Advanced Logic Synthesis.

Priyank Kalla, Maciej J. Ciesielski, Serkan Askar, 2006, IEEE Transactions on Computers.

Priyank Kalla, Florian Enescu, Utkarsh Gupta, 2018, 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Priyank Kalla, Sivaram Gopalakrishnan, P. Kalla, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Steve Blair, Priyank Kalla, Lawrence M. Schlitt, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Russell Tessier, Priyank Kalla, Navin Vemuri, 2002, TODE.

Steve Blair, Priyank Kalla, Christopher Condrat, 2013, 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Priyank Kalla, Florian Enescu, Xiaojun Sun, 2016, 2016 IEEE International High Level Design Validation and Test Workshop (HLDVT).

Priyank Kalla, Christopher Condrat, 2007, TACAS.

Zhihong Zeng, Priyank Kalla, Maciej J. Ciesielski, 2001, J. Syst. Archit..

Zhihong Zeng, Priyank Kalla, Maciej J. Ciesielski, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Steve Blair, Priyank Kalla, Christopher Condrat, 2011, GLSVLSI '11.

Priyank Kalla, Florian Enescu, Utkarsh Gupta, 2018, VLSI-SoC.

Priyank Kalla, Maciej J. Ciesielski, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Priyank Kalla, Florian Enescu, M. Brandon Meredith, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Priyank Kalla, Florian Enescu, Namrata Shekhar, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Steve Blair, Priyank Kalla, Christopher Condrat, 2015 .

Priyank Kalla, Florian Enescu, M. Brandon Meredith, 2006, 2006 Formal Methods in Computer Aided Design.

Priyank Kalla, Florian Enescu, Jinpeng Lv, 2011, 2011 IEEE International High Level Design Validation and Test Workshop.

Priyank Kalla, Florian Enescu, Jinpeng Lv, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Priyank Kalla, Maciej J. Ciesielski, 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

Priyank Kalla, Prabhat Mishra, 2009, HLDVT.

Priyank Kalla, Maciej Ciesielski, S. Bommu, 1997 .

Priyank Kalla, Florian Enescu, Sivaram Gopalakrishnan, 2005, 2005 International Conference on Computer Design.

Priyank Kalla, Florian Enescu, Utkarsh Gupta, 2019, 2019 IEEE European Test Symposium (ETS).

Priyank Kalla, Emmanuel Boutillon, Eric Martin, 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..

Zhihong Zeng, Priyank Kalla, Maciej J. Ciesielski, 2000, DATE '00.

Zhihong Zeng, Priyank Kalla, Bruno Rouzeyre, 2001, Sixth IEEE International High-Level Design Validation and Test Workshop.

Priyank Kalla, Jinpeng Lv, 2012, 2012 25th International Conference on VLSI Design.

Peter A. Beerel, Chris J. Myers, Ganesh Gopalakrishnan, 2003 .

Priyank Kalla, Sivaram Gopalakrishnan, P. Kalla, 2007, TODE.

Priyank Kalla, Vijay Durairaj, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Priyank Kalla, Vijay Durairaj, P. Kalla, 2004, Proceedings. Ninth IEEE International High-Level Design Validation and Test Workshop (IEEE Cat. No.04EX940).

Tim Pruss, Priyank Kalla, Florian Enescu, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Zhihong Zeng, Priyank Kalla, Bruno Rouzeyre, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Miodrag Potkonjak, Steven P. Levitan, Priyank Kalla, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Priyank Kalla, Maciej J. Ciesielski, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Priyank Kalla, 2015, 2015 Formal Methods in Computer-Aided Design (FMCAD).

Priyank Kalla, Vijay Durairaj, P. Kalla, 2004, Proceedings. Ninth IEEE International High-Level Design Validation and Test Workshop (IEEE Cat. No.04EX940).

Priyank Kalla, Sivaram Gopalakrishnan, Vijay Durairaj, 2003, Eighth IEEE International High-Level Design Validation and Test Workshop.

Priyank Kalla, Florian Enescu, Sivaram Gopalakrishnan, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Tim Pruss, Priyank Kalla, Florian Enescu, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Priyank Kalla, Maciej J. Ciesielski, M. Ciesielski, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Priyank Kalla, Florian Enescu, Sivaram Gopalakrishnan, 2007, 2007 Asia and South Pacific Design Automation Conference.

Priyank Kalla, Sivaram Gopalakrishnan, Namrata Shekhar, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Priyank Kalla, Florian Enescu, Vikas Rao, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Priyank Kalla, Florian Enescu, Vikas Rao, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Priyank Kalla, Florian Enescu, Vikas Rao, 2021, 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC).

Priyank Kalla, V. Durairaj, 2004, ICCAD 2004.

Priyank Kalla, Florian Enescu, Sivaram Gopalakrishnan, 2007, ICCAD 2007.

Priyank Kalla, Maciej Ciesielski, 2002 .

Priyank Kalla, Sivaram Gopalakrishnan, Neal Tew, 2008, ICCAD 2008.