L. Lao

发表

Xiang Fu, Koen Bertels, Dan Iorga, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Koen Bertels, L. Riesebos, J. van Someren, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

K. Bertels, J. van Someren, L. Lao, 2018, Quantum Science and Technology.

K. Bertels, M. A. Rol, I. Ashraf, 2020, ACM J. Emerg. Technol. Comput. Syst..

Koen Bertels, L. Lao, C. G. Almudever, 2019, Microprocess. Microsystems.