Vijayalakshmi Srinivasan

发表

Michael Gschwind, David M. Brooks, Pradip Bose, 2004, IEEE Transactions on Computers.

Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Jude A. Rivers, 2009, ISCA '09.

Vijayalakshmi Srinivasan, Zehra Sura, Sunil Shukla, 2016, 2016 IEEE International Conference on Rebooting Computing (ICRC).

Andrew B. Kahng, Vijayalakshmi Srinivasan, 2011, IEEE Micro.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2005, IBM J. Res. Dev..

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2008, J. Instr. Level Parallelism.

Vijayalakshmi Srinivasan, Philip G. Emma, Jim Mitchell, 2007, CF '07.

Gary S. Tyson, Vijayalakshmi Srinivasan, Edward S. Davidson, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Pradip Bose, Zhigang Hu, Victor V. Zyuban, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Xin Tong, Vijayalakshmi Srinivasan, Andreas Moshovos, 2013, HPCA.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Michael Gschwind, David M. Brooks, Pradip Bose, 2002, MICRO.

Vijayalakshmi Srinivasan, Jude A. Rivers, 2012 .

Vijayalakshmi Srinivasan, Sandhya Dwarkadas, Hongzhou Zhao, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Gary S. Tyson, Vijayalakshmi Srinivasan, Edward S. Davidson, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

Michael Gschwind, David M. Brooks, Pradip Bose, 2003, IBM J. Res. Dev..

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2020, NeurIPS.

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2007, ExpCS '07.

Vijayalakshmi Srinivasan, Luis A. Lastras, Moinuddin K. Qureshi, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gary S. Tyson, Vijayalakshmi Srinivasan, Edward S. Davidson, 1999, IEEE Trans. Computers.

James E. Smith, Michael Gschwind, Tejas Karkhanis, 2002, PACS.

Swagath Venkataramani, Zhuo Wang, Vijayalakshmi Srinivasan, 2018, ArXiv.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Zhuo Wang, 2019, MLSys.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2018, ISLPED.

Vijayalakshmi Srinivasan, Andreas Moshovos, Harold W. Cain, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2008, J. Instr. Level Parallelism.

Feifei Li, Vijayalakshmi Srinivasan, Seth H. Pugsley, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Swagath Venkataramani, Wonyong Sung, Sungho Shin, 2018, ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Gary S. Tyson, Vijayalakshmi Srinivasan, Edward S. Davidson, 2001 .

Swagath Venkataramani, Zhuo Wang, Vijayalakshmi Srinivasan, 2018, ArXiv.

Vijayalakshmi Srinivasan, Ravi Nair, Lakshminarayanan Renganarayana, 2012, RACES '12.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, ISLPED.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Vijayalakshmi Srinivasan, Rajeev Balasubramonian, Kshitij Sudan, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Xin Tong, Vijayalakshmi Srinivasan, Andreas Moshovos, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, Proceedings of the IEEE.

Vijayalakshmi Srinivasan, William N. Sumner, Snehasish Kumar, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Swagath Venkataramani, Vijayalakshmi Srinivasan, George Gristede, 2018, IEEE Solid-State Circuits Letters.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Wei Wang, Swagath Venkataramani, Hiroshi Inoue, 2019, 2019 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, NeurIPS.

Vijayalakshmi Srinivasan, Yunquan Zhang, 2015, International Journal of Parallel Programming.

Gu-Yeon Wei, David M. Brooks, Vijayalakshmi Srinivasan, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gary S. Tyson, Vijayalakshmi Srinivasan, Edward S. Davidson, 2004, IEEE Transactions on Computers.

Vijayalakshmi Srinivasan, William N. Sumner, Snehasish Kumar, 2016, ICS.

Hiroshi Inoue, Swagath Venkataramani, Moriyoshi Ohara, 2019, IEEE Micro.

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2005, CF '05.

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2006, CF '06.

Hsien-Hsin S. Lee, Vijayalakshmi Srinivasan, Dong Hyuk Woo, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Vijayalakshmi Srinivasan, Philip G. Emma, Allan Hartstein, 2007, SIGMETRICS.

Vijayalakshmi Srinivasan, Snehasish Kumar, Arrvindh Shriraman, 2015, ICS.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Songtao Lu, 2021, NeurIPS.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, 2018 IEEE Symposium on VLSI Circuits.

Dan Lin, Vijayalakshmi Srinivasan, Snehasish Kumar, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Swagath Venkataramani, Philip Heidelberger, Vijayalakshmi Srinivasan, 2019, 2019 IEEE 26th International Conference on High Performance Computing, Data, and Analytics (HiPC).

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, 2020 IEEE Symposium on VLSI Circuits.

Feifei Li, Vijayalakshmi Srinivasan, Seth H. Pugsley, 2014, IEEE Micro.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, 2019 IEEE International Symposium on Workload Characterization (IISWC).

Vijayalakshmi Srinivasan, Rajeev Balasubramonian, Sandhya Dwarkadas, 2003, PACS.

Vijayalakshmi Srinivasan, Andreas Moshovos, Moinuddin K. Qureshi, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Wei Zhang, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2017, DAC.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Joel Silberman, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2022, IEEE Journal of Solid-State Circuits.

Joel Silberman, Swagath Venkataramani, Mingu Kang, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).