Yale N. Patt

发表

Serafino Amoroso, Yale N. Patt, Y. Patt, 1972, J. Comput. Syst. Sci..

Yale N. Patt, Stéphan Jourdan, Jared Stark, 2007, International Journal of Parallel Programming.

Yale N. Patt, Y. Patt, 1996, CSUR.

Philip Machanick, Judith L. Gersting, Yale N. Patt, 2001, SIGCSE '01.

Yale N. Patt, Stephen W. Melvin, 2007, International Journal of Parallel Programming.

Onur Mutlu, Yale N. Patt, Veynu Narasiman, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yale N. Patt, Gregory R. Ganger, Robert Y. Hou, 1994, Computer.

Onur Mutlu, Yale N. Patt, Milad Hashemi, 2016, ISCA.

Kevin Skadron, Dirk Grunwald, Yale N. Patt, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

Yale N. Patt, Jared Stark, Marius Evers, 1998, ASPLOS VIII.

Onur Mutlu, Yale N. Patt, Chang Joo Lee, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yale N. Patt, Sanjay J. Patel, Marius Evers, 1998, ISCA.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

Yale N. Patt, Mitch Alsup, Eric Sprangle, 1997, ISCA '97.

Onur Mutlu, Yale N. Patt, Jared Stark, 2005, IEEE Computer Architecture Letters.

Vason P. Srini, Yale N. Patt, Alvin M. Despain, 1985, MICRO 18.

Yale N. Patt, Edward S. Davidson, Robert S. Chappell, 2004 .

Yale N. Patt, Moinuddin K. Qureshi, M. Aater Suleman, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Yale N. Patt, Mary D. Brown, Y. Patt, 2005 .

Yale N. Patt, Francis Tseng, 2008, 2008 International Symposium on Computer Architecture.

Yale N. Patt, Sanjay J. Patel, Daniel H. Friendly, 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

Yale N. Patt, Gregory R. Ganger, Robert Y. Hou, 1992 .

Yale N. Patt, Stephen W. Melvin, Jeff Gee, 1986, MICRO 19.

Yale N. Patt, Stephen W. Melvin, Michael Shebanow, 1988, [1988] Proceedings of the 21st Annual Workshop on Microprogramming and Microarchitecture - MICRO '21.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Onur Mutlu, Yale N. Patt, O. Mutlu, 2006 .

Yale N. Patt, Joel Emer, Doug Burger, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Yale N. Patt, Marius Evers, Po-Yung Chang, 1996, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Technique.

Yale N. Patt, Alvin M. Despain, T. P. Dobry, 1986 .

Yale N. Patt, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Yale N. Patt, Gregory R. Ganger, Robert Y. Hou, 1994 .

Yale N. Patt, Wen-mei W. Hwu, Robert G. Sheldon, 1984 .

Yale N. Patt, S. W. Melvin, Michael C. Shebanow, 1988, MICRO 1988.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2006, IEEE Micro.

Yale N. Patt, 2009, HPCA.

Yale N. Patt, Moinuddin K. Qureshi, Y. Patt, 2006 .

Yale N. Patt, Eric Sprangle, 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.

Yale N. Patt, Wen-mei W. Hwu, Stephen W. Melvin, 1987, MICRO 20.

Yale N. Patt, Hesham El-Rewini, Y. Patt, 1997 .

Yale N. Patt, Gregory R. Ganger, G. Ganger, 1995 .

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2005, International Journal of Parallel Programming.

Yale N. Patt, Moinuddin K. Qureshi, David Thompson, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Yale N. Patt, Alvin M. Despain, T. P. Dobry, 1985, ISCA '85.

Yale N. Patt, Rustam Miftakhutdinov, Carlos Villavieja Jos, 2014 .

Yale N. Patt, Gregory R. Ganger, G. Ganger, 1994, OSDI '94.

Yale N. Patt, Moinuddin K. Qureshi, Khubaib, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Yale N. Patt, Michael Butler, Y. Patt, 1990, ICPP.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2007, IEEE Computer Architecture Letters.

Yale N. Patt, Sanjay J. Patel, Marius Evers, 1998, ISCA 1998.

Yale N. Patt, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Yale N. Patt, Wen-mei W. Hwu, Y. Nakagome, 1990 .

Yale N. Patt, Chien Chen, Wen-mei W. Hwu, 1986 .

Yale N. Patt, 1997, Proceedings of the Thirtieth Hawaii International Conference on System Sciences.

Yale N. Patt, Eric Hao, Po-Yung Chang, 1995, Proceedings of the 28th Annual International Symposium on Microarchitecture.

Yale N. Patt, Tse-Yu Yeh, Y. Patt, 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

Yale N. Patt, Alvin M. Despain, Bruce K. Holmer, 1988 .

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987 .

Hyesoon Kim, Onur Mutlu, Yale N. Patt, 2005, ISCA 2005.

Onur Mutlu, Yale N. Patt, Moinuddin K. Qureshi, 2010, IEEE Micro.

Yale N. Patt, M. Butler, D. Dyer, 1992, Proceedings of the Twenty-Fifth Hawaii International Conference on System Sciences.

Yale N. Patt, Stephen W. Melvin, Y. Patt, 1987 .

Onur Mutlu, Norman P. Jouppi, Thomas R. Gross, 2016, IEEE Micro.

Onur Mutlu, Yale N. Patt, Jared Stark, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987, ISCA '87.

Yale N. Patt, Pohua P. Chang, Eric Hao, 1995, International Journal of Parallel Programming.

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1998, 25 Years ISCA: Retrospectives and Reprints.

Yale N. Patt, Alvin M. Despain, Y. Patt, 1985, COMPCON.

Onur Mutlu, Yale N. Patt, Moinuddin K. Qureshi, 2005, 2005 International Conference on Dependable Systems and Networks (DSN'05).

Yale N. Patt, John K. Ahlstrom, Y. Patt, 1985, MICRO 18.

Yale N. Patt, Robert Y. Hou, Y. Patt, 1995, ICPP.

Aamer Jaleel, Yale N. Patt, Moinuddin K. Qureshi, 2007, ISCA '07.

Yale N. Patt, Jared Stark, Mary D. Brown, 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

Yale N. Patt, Alvin M. Despain, Tep P. Dobry, 1984, MICRO 17.

Philippe Olivier Alexandre Navaux, Yale N. Patt, Marco Antonio Zanata Alves, 2012, 2012 IEEE 24th International Symposium on Computer Architecture and High Performance Computing.

Onur Mutlu, Yale N. Patt, Chris Wilkerson, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Derek Chiou, Yale N. Patt, Mark D. Hill, 2007, IEEE Micro.

Yale N. Patt, Milad Hashemi, Doug Carmean, 2016, IEEE Computer Architecture Letters.

Yale N. Patt, Gregory R. Ganger, G. Ganger, 1998, IEEE Trans. Computers.

Onur Mutlu, Yale N. Patt, Veynu Narasiman, 2011 .

Yale N. Patt, Stephen W. Melvin, Wen-mei W. Hwu, 2016 .

Yale N. Patt, Faruk Guvenilir, Y. Patt, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2006, International Symposium on Code Generation and Optimization (CGO'06).

Yale N. Patt, Rustam Miftakhutdinov, Eiman Ebrahimi, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Yale N. Patt, Ashok Singhal, 1988, MICRO 1988.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Yale N. Patt, Adi Yoaz, Robert S. Chappell, 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

Onur Mutlu, Yale N. Patt, Veynu Narasiman, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Kevin Skadron, Yale N. Patt, Paolo Faraboschi, 2001, MICRO 2001.

Onur Mutlu, Yale N. Patt, Chang Joo Lee, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Yale N. Patt, Adi Yoaz, Robert S. Chappell, 2002, ISCA.

Onur Mutlu, Yale N. Patt, Veynu Narasiman, 2011 .

Aamer Jaleel, Yale N. Patt, Moinuddin K. Qureshi, 2008, IEEE Micro.

Yale N. Patt, Stephen W. Melvin, 1987, MICRO 20.

Yale N. Patt, Y. Patt, 1996, CSUR.

Yale N. Patt, Jared Stark, Sanjay J. Patel, 1997, Computer.

Yale N. Patt, Jared Stark, Steven K. Reinhardt, 1999, ISCA.

Derek Chiou, Yale N. Patt, Mark D. Hill, 2007 .

Yale N. Patt, Ashok Singhal, Y. Patt, 1989, The 16th Annual International Symposium on Computer Architecture.

Yale N. Patt, Y. Patt, 2011, Encyclopedia of Parallel Computing.

Onur Mutlu, Yale N. Patt, Moinuddin K. Qureshi, 2009, ASPLOS.

Yale N. Patt, Mitch Alsup, Eric Sprangle, 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

Yale N. Patt, Mary D. Brown, Jared W. Stark, 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

Yale N. Patt, Robert Y. Hou, 1993, [1993] Proceedings The 2nd International Symposium on High Performance Distributed Computing.

Yale N. Patt, Alvin M. Despain, Y. Patt, 1984, COMPCON.

Yale N. Patt, Tse-Yu Yeh, 1993, Proceedings of the 26th Annual International Symposium on Microarchitecture.

Yale N. Patt, Jared Stark, Paul Racunas, 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

Yale N. Patt, 2009, SAMOS.

Yale N. Patt, Robert Y. Hou, 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.

Richard F. Lary, Joseph Pasquale, Yale N. Patt, 2001 .

Doug DeGroot, Yale N. Patt, Peter M. Kogge, 1987 .

Yale N. Patt, John A. Swensen, 1988, ICS '88.

Yale N. Patt, Tse-Yu Yeh, Eric Hao, 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.

Yale N. Patt, Wen-mei W. Hwu, Michael Shebanow, 1985, MICRO 18.

Onur Mutlu, Yale N. Patt, José A. Joao, 2013, ISCA.

Yale N. Patt, Alvin M. Despain, Tep P. Dobry, 1985, ISCA '85.

Vason P. Srini, Yale N. Patt, Alvin M. Despain, 1987 .

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987, MICRO 20.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2004, 16th Symposium on Computer Architecture and High Performance Computing.

Yale N. Patt, Marius Evers, Eric Hao, 2004, International Journal of Parallel Programming.

Yale N. Patt, Adi Yoaz, Robert S. Chappell, 2002, MICRO.

Yale N. Patt, 1990, Twenty-Third Annual Hawaii International Conference on System Sciences.

Yale N. Patt, Tse-Yu Yeh, T. Eklund, 2003, 36th Annual Hawaii International Conference on System Sciences, 2003. Proceedings of the.

Yale N. Patt, Y. Patt, 1992, Microprocess. Microprogramming.

Onur Mutlu, Yale N. Patt, Jared Stark, 2006, IEEE Micro.

Yale N. Patt, Wen-mei W. Hwu, Stephen W. Melvin, 1986, MICRO 19.

Yale N. Patt, Alvin M. Despain, Robert Yung, 1989, [1989] Proceedings of the Twenty-Second Annual Hawaii International Conference on System Sciences. Volume 1: Architecture Track.

Yale N. Patt, Craig A. N. Soules, Gregory R. Ganger, 2000 .

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Yale N. Patt, Moinuddin K. Qureshi, Y. Patt, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Onur Mutlu, Yale N. Patt, Veynu Narasiman, 2011, IEEE Transactions on Computers.

Yale N. Patt, Wen-mei W. Hwu, Stephen W. Melvin, 1986, COMPCON.

Serafino Amoroso, Yale N. Patt, Gerald Cooper, 1975, J. Comput. Syst. Sci..

Yale N. Patt, 1972, IEEE Transactions on Computers.

Yale N. Patt, Eric Hao, Po-Ying Chang, 1995, MICRO 1995.

Yale N. Patt, Stephen W. Melvin, 1986, MICRO 19.

Yale N. Patt, Gregory R. Ganger, Robert Y. Hou, 1992, Proceedings of the Twenty-Fifth Hawaii International Conference on System Sciences.

Yale N. Patt, Chris Wilkerson, Milad Hashemi, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987, IEEE Transactions on Computers.

Yale N. Patt, Tse-Yu Yeh, Y. Patt, 1992, ISCA '92.

Yale N. Patt, Moinuddin K. Qureshi, David A. Thompson, 2006 .

Yale N. Patt, Michael Shebanow, Michael Butler, 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

Yale N. Patt, Tse-Yu Yeh, Y. Patt, 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.

Yale N. Patt, John A. Swensen, 1987, ISCA '87.

Yale N. Patt, Alvin M. Despain, Wayne Citrin, 1986, COMPCON.

Onur Mutlu, Yale N. Patt, 2011, IEEE Micro.

Onur Mutlu, Yale N. Patt, Veynu Narasiman, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Yale N. Patt, Milad Hashemi, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yale N. Patt, Jared Stark, Mary D. Brown, 2001, MICRO.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Yale N. Patt, Wen-mei W. Hwu, Stephen W. Melvin, 1985, MICRO 18.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Yale N. Patt, Robert Y. Hou, 1993, SIGMOD '93.

Yale N. Patt, Alvin M. Despain, Randy H. Katz, 1985 .

Yale N. Patt, Gregory R. Ganger, G. Ganger, 1993, SIGMETRICS '93.

Onur Mutlu, Yale N. Patt, José A. Joao, 2010, ISCA.

Onur Mutlu, Yale N. Patt, Milad Hashemi, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Yale N. Patt, Chris Wilkerson, 2003, IEEE Micro.

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2007, IEEE Computer Architecture Letters.

Yale N. Patt, Eric Hao, Po-Ying Chang, 1995, MICRO 1995.

Yale N. Patt, 1994 .

Onur Mutlu, Yale N. Patt, Hyesoon Kim, 2006, IEEE Transactions on Computers.

Yale N. Patt, Marius Evers, Po-Yung Chang, 2007, International Journal of Parallel Programming.

Yale N. Patt, Jared Stark, Mary D. Brown, 2000, MICRO 33.

Harry F. Jordan, Benjamin W. Wah, Tse-Yun Feng, 1992, J. Parallel Distributed Comput..

Onur Mutlu, Yale N. Patt, José A. Joao, 2012, ASPLOS XVII.

Yale N. Patt, Moinuddin K. Qureshi, David A. Thompson, 2005, ISCA 2005.

Yale N. Patt, M. Aater, Suleman Moinuddin, 2010 .

Sani R. Nassif, Magdy S. Abadir, Yale N. Patt, 2013, VLSI-SoC.

Yale N. Patt, Chien Chen, Ashok Singhal, 1988 .

Yale N. Patt, Ashok Singhal, Y. Patt, 1989, NACLP.

Yale N. Patt, 2004, ISPASS.

Yale N. Patt, Sanjay J. Patel, Daniel H. Friendly, 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

Onur Mutlu, Yale N. Patt, Eiman Ebrahimi, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Kevin Skadron, Jean-Luc Gaudiot, Yale N. Patt, 2006, IEEE Comput. Archit. Lett..

Yale N. Patt, Ashok Singhal, Y. Patt, 1988, [1988] Proceedings of the 21st Annual Workshop on Microprogramming and Microarchitecture - MICRO '21.

Yale N. Patt, Sangkug Lym, Stephen Pruett, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yale N. Patt, Gregory R. Ganger, Bruce L. Worthington, 1995, SIGMETRICS '95/PERFORMANCE '95.

Philip G. Emma, Yale N. Patt, Rustam Miftakhutdinov, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Yale N. Patt, Moinuddin K. Qureshi, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Yale N. Patt, Mary D. Brown, 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

Yale N. Patt, 1989, IEEE Trans. Syst. Man Cybern..

Yale N. Patt, Stéphan Jourdan, Jared Stark, 1996, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Technique.

Chris Fallin, Onur Mutlu, Yale N. Patt, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yale N. Patt, Wen-mei W. Hwu, Michael C. Shebanow, 1986 .

Onur Mutlu, Yale N. Patt, Chang Joo, 2010 .

Yale N. Patt, Gregory R. Ganger, Robert Y. Hou, 1993, [1993] Proceedings of the Twenty-sixth Hawaii International Conference on System Sciences.

Yale N. Patt, Eric Hao, Po-Yung Chang, 1997, ISCA '97.

Yale N. Patt, Ashok Singhal, Y. Patt, 1989, [1989] Proceedings of the Twenty-Second Annual Hawaii International Conference on System Sciences. Volume 1: Architecture Track.

Onur Mutlu, Yale N. Patt, José A. Joao, 2009, ISCA '09.

Yale N. Patt, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Yale N. Patt, Milad Hashemi, Y. Patt, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Yale N. Patt, José A. Joao, 2011, IEEE Micro.

Yale N. Patt, Gregory R. Ganger, Bruce L. Worthington, 1994, SIGMETRICS 1994.

Yale N. Patt, Aniket Deshmukh, Y. Patt, 2021, MICRO.

Yale N. Patt, Ali Fakhrzadehgan, Siavash Zangeneh, 2016 .

Yale N. Patt, Jared Stark, Marius Evers, 1998 .

Yale N. Patt, Jared Stark, Marius Evers, .