Ankit Agrawal

发表

Wei-keng Liao, Alok N. Choudhary, Dianwei Han, 2018, 2018 IEEE International Conference on Big Data (Big Data).

Alok N. Choudhary, Kunpeng Zhang, Ankit Agrawal, 2012, BigMine '12.

Alok N. Choudhary, Huan Liu, Ankit Agrawal, 2017, Stat. Anal. Data Min..

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2012, 2012 19th International Conference on High Performance Computing.

Alok N. Choudhary, Kunpeng Zhang, Ankit Agrawal, 2012, KDD.

Alok N. Choudhary, Ankit Agrawal, Daniel Honbo, 2010, BIOCOMP.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2011, Bioinform..

Alok N. Choudhary, Ankit Agrawal, A. Choudhary, 2011, Int. J. Knowl. Discov. Bioinform..

Seung Woo Son, Wei-keng Liao, Alok N. Choudhary, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Jiang Wang, Alok N. Choudhary, Ankit Agrawal, 2013, CIKM.

Alok N. Choudhary, Ankit Agrawal, Siddharth Gupta, 2016, 2014 IEEE International Parallel & Distributed Processing Symposium Workshops.

Ankit Agrawal, Rakesh Mohanty, H.S. Behera, 2010, 2010 International Conference on Methods and Models in Computer Science (ICM2CS-2010).

Alok Choudhary, Ankit Agrawal, Arindam Paul, 2019, Molecular informatics.

Nithin Nakka, Alok N. Choudhary, Ankit Agrawal, 2011, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum.

Alok N. Choudhary, Ankit Agrawal, Xiaoqiu Huang, 2010, BCB '10.

Ankit Agrawal, Ankush Mittal, Rahul Jain, 2008, 2008 IEEE International Conference on Electro/Information Technology.

Parijat Deshpande, Ankit Agrawal, Alok Choudhary, 2014, Integrating Materials and Manufacturing Innovation.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2012, High Performance Computing Workshop.

Alok N. Choudhary, Ankit Agrawal, Bryce Meredig, 2016, 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW).

Wei-keng Liao, Kunpeng Zhang, Ankit Agrawal, 2012, KDD 2012.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2013, 2013 IEEE Symposium on Large-Scale Data Analysis and Visualization (LDAV).

Alok N. Choudhary, Ankit Agrawal, Amar Krishna, 2016, 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW).

Ankit Agrawal, Ankush Mittal, Rahul Jain, 2010, Int. J. Comput. Biol. Drug Des..

Ankit Agrawal, Parag Parandkar, Shafi Patel, 2011 .

Alok N. Choudhary, Ankit Agrawal, Zhengzhang Chen, 2014, 2014 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2014).

Ankit Agrawal, 1800 .

Wei Chen, Alok N. Choudhary, Xiaolin Li, 2018, Journal of Mechanical Design.

Alok Choudhary, Prasanna Balaprakash, Ankit Agrawal, 2018, 2018 IEEE/ACM Machine Learning in HPC Environments (MLHPC).

Wei-keng Liao, Alok N. Choudhary, Yuhong Zhang, 2011, 2011 IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

Ankit Agrawal, Xiaoqiu Huang, 2008, 2008 IEEE International Conference on Bioinformatics and Biomedicine.

Ankit Agrawal, Sarsij Tripathi, Sarsij Tripathi, 2018, Harmony Search and Nature Inspired Optimization Algorithms.

Abhishek Kumar, Ankit Agrawal, Alok Choudhary, 2014 .

Ankit Agrawal, Alok Choudhary, Surya R. Kalidindi, 2015, Integrating Materials and Manufacturing Innovation.

Kunpeng Zhang, Ankit Agrawal, Alok Choudhary, 2012, KDD 2012.

Ankit Agrawal, Krishna Asawa, Vikrant Verma, 2012, ICACCI '12.

Wei-keng Liao, Dianwei Han, Ankit Agrawal, 2018 .

Alok N. Choudhary, Ankit Agrawal, Nan Li, 2016, 2016 IEEE International Conference on Cluster Computing (CLUSTER).

Santanu Kumar Rath, Ankit Agrawal, Abinash Tripathy, 2015 .

Sandeep Rathor, Ankit Agrawal, D. P. Yadav, 2020, 2020 International Conference on Power Electronics & IoT Applications in Renewable Energy and its Control (PARC).

Alok N. Choudhary, Ankit Agrawal, Wei-Keng Liao, 2017, 2017 IEEE International Conference on Data Mining Workshops (ICDMW).

Alok Choudhary, Ankit Agrawal, Zhengzhang Chen, 2015, Scientific Reports.

Ankit Agrawal, Xiaoqiu Huang, Xiaoqiu Huang, 2008, 2008 IEEE International Conference on Electro/Information Technology.

Tanuja Ganu, Ankit Agrawal, Rajesh Kunnath, 2013, e-Energy '13.

Alok N. Choudhary, Ankit Agrawal, Goce Trajcevski, 2016, Knowledge and Information Systems.

Wei-keng Liao, Alok N. Choudhary, Nikolaos Hardavellas, 2016, 2016 IEEE International Conference on Big Data (Big Data).

Alok Choudhary, Wei-keng Liao, Jian Cao, 2019, 2019 IEEE International Conference on Data Science and Advanced Analytics (DSAA).

Sebastien Guillon, Ankit Agrawal, Ashok Pon Kumar, 2014, ISGT 2014.

Alok N. Choudhary, Ankit Agrawal, Yu Cheng, 2014, 2014 IEEE International Conference on Data Mining Workshop.

Ankit Agrawal, Michael Vierhauser, Jane Cleland-Huang, 2020, SPLC.

Alok N. Choudhary, David Baker, Ankit Agrawal, 2016, 2016 IEEE 6th International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

Alok N. Choudhary, Ankit Agrawal, William Hendrix, 2014, 2014 IEEE International Conference on Big Data (Big Data).

Alok N. Choudhary, Ankit Agrawal, Goce Trajcevski, 2014, 2014 IEEE 30th International Conference on Data Engineering.

Ankit Agrawal, Sarsij Tripathi, 2018 .

Wei-keng Liao, Ankit Agrawal, Alok Choudhary, 2019, Computational Materials Science.

Jiang Wang, Alok N. Choudhary, Ankit Agrawal, 2013, CIKM.

Alok Choudhary, Kunpeng Zhang, Ankit Agrawal, 2012, WISDOM '12.

Alok N. Choudhary, Yi Gao, Kunpeng Zhang, 2012, DUBMMSM '12.

Wei-keng Liao, Alok Choudhary, Ankit Agrawal, 2014, 2014 IEEE International Conference on Big Data (Big Data).

Ankit Agrawal, Xiaoqiu Huang, 2008, 2008 International Conference on Information Technology.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Alok N. Choudhary, Ankit Agrawal, Sanchit Misra, 2011, Concurr. Comput. Pract. Exp..

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2017, 2017 IEEE 24th International Conference on High Performance Computing (HiPC).

Ankit Agrawal, Rakesh Bairathi, Amit M. Joshi, 2015 .

Alok N. Choudhary, Kunpeng Zhang, Ankit Agrawal, 2013, 2013 IEEE International Conference on Big Data.

Alok N. Choudhary, David Baker, Ankit Agrawal, 2016, 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW).

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2016, ICCS.

Ankit Agrawal, Ashutosh Bhatia, K K Sreelakshmi, 2021 .

Alok N. Choudhary, Ankit Agrawal, Zhengzhang Chen, 2014, IEEE Intelligent Systems.

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2012, Sci. Program..

Alok Choudhary, Ankit Agrawal, Reda Al-Bahrani, 2018, Microscopy and Microanalysis.

Alok N. Choudhary, Ankit Agrawal, Kathy Lee, 2013, KDD.

Seung Woo Son, Wei-keng Liao, Alok N. Choudhary, 2016, 2016 IEEE 23rd International Conference on High Performance Computing (HiPC).

Alok N. Choudhary, Ankit Agrawal, Reda Al-Bahrani, 2016, 2016 IEEE International Conference on Healthcare Informatics (ICHI).

Nagiza F. Samatova, Wei-keng Liao, Alok N. Choudhary, 2013, IJCAI.

Santanu Kumar Rath, Ankit Agrawal, Abinash Tripathy, 2016, Expert Syst. Appl..

Ankit Agrawal, Alok Choudhary, Surya R. Kalidindi, 2017, Integrating Materials and Manufacturing Innovation.

Alok N. Choudhary, Ankit Agrawal, Zhengzhang Chen, 2015, SpringSim.

Ankit Agrawal, Xiaoqiu Huang, 2008, 2008 International Conference on Information Technology.

Kesheng Wu, Wei-keng Liao, Alok N. Choudhary, 2018, AI-Science@HPDC.

Ankit Agrawal, Reda Al-Bahrani, Alok N. Choudhary, 2019, Health Informatics J..

Alok N. Choudhary, Ankit Agrawal, Kathy Lee, 2017, 2017 IEEE International Conference on Healthcare Informatics (ICHI).

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2016, 2016 IEEE International Conference on Big Data (Big Data).

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2014, SNAKDD'14.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Wei-keng Liao, Ankit Agrawal, Zhuoyuan Chen, 2013, HiPC 2013.

Qiang Fu, Alok N. Choudhary, Ankit Agrawal, 2015, 2015 15th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing.

Ankit Agrawal, A. K. Verma, 2016 .

Sascha Uhrig, Ankit Agrawal, Gerhard Fohler, 2016, 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS).

Ankit Agrawal, S. K. Khaitan, 2008, 2008 IEEE International Conference on Electro/Information Technology.

Alok N. Choudhary, Kunpeng Zhang, Ankit Agrawal, 2012, CIKM '12.

Wei-keng Liao, Alok N. Choudhary, Kunpeng Zhang, 2011, 2011 IEEE 11th International Conference on Data Mining Workshops.

Alok N. Choudhary, Ankit Agrawal, Sanchit Misra, 2011, 2011 IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

Wei-keng Liao, Robert Ross, Ankit Agrawal, 2020, IEEE Transactions on Parallel and Distributed Systems.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2015, 2015 Eighth International Conference on Contemporary Computing (IC3).

Alok N. Choudhary, Ankit Agrawal, A. Choudhary, 2011, 2011 IEEE 11th International Conference on Data Mining Workshops.

Ankit Agrawal, Sarsij Tripathi, Sarsij Tripathi, 2018, Evolutionary Intelligence.

Doug Downey, Wei-keng Liao, Alok N. Choudhary, 2012, SIGIR '12.

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2011, BIOKDD '11.

Kesheng Wu, Ankit Agrawal, Alok Choudhary, 2020, 2020 20th IEEE/ACM International Symposium on Cluster, Cloud and Internet Computing (CCGRID).

Alok N. Choudhary, Ankit Agrawal, Zhengzhang Chen, 2017, AAAI.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2017, 2017 IEEE International Conference on Healthcare Informatics (ICHI).

Alok N. Choudhary, Ankit Agrawal, Md. Mostofa Ali Patwary, 2014, Social Network Analysis and Mining.

Halil Ceylan, Kasthurirangan Gopalakrishnan, Ankit Agrawal, 2013 .

Doug Downey, Wei-keng Liao, Alok N. Choudhary, 2013, 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2013).

Alok N. Choudhary, Ankit Agrawal, Oladimeji Farri, 2017, 2017 IEEE International Conference on Healthcare Informatics (ICHI).

Kasthurirangan Gopalakrishnan, Ankit Agrawal, Alok Choudhary, 2017 .

Ankit Agrawal, Xiaoqiu Huang, Alok Choudhary, 2011, Advances in experimental medicine and biology.

Ankit Agrawal, Gerhard Fohler, Rodolfo Pellizzoni, 2018, 2018 IEEE Real-Time Systems Symposium (RTSS).

Wei-keng Liao, Alok N. Choudhary, Dianwei Han, 2016, 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Xiaolin Li, Ankit Agrawal, Alok Choudhary, 2018, Volume 2B: 44th Design Automation Conference.

Wei-keng Liao, Alok N. Choudhary, Jie Tang, 2013, CIKM.

Alok N. Choudhary, Ankit Agrawal, Kathy Lee, 2011, 2011 IEEE 11th International Conference on Data Mining Workshops.

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2011, 2011 IEEE 11th International Conference on Data Mining Workshops.

Ankit Agrawal, Mayank Kumar Sharma, Megha Patidar, 2014, 2014 Conference on IT in Business, Industry and Government (CSIBIG).

Alok N. Choudhary, Kunpeng Zhang, Ankit Agrawal, 2015, ACL.

Ankit Agrawal, Xiaoqiu Huang, 2011, IEEE/ACM Transactions on Computational Biology and Bioinformatics.

Alok N. Choudhary, Ankit Agrawal, Kathy Lee, 2015, 2015 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM).

Wei-keng Liao, Alok N. Choudhary, Yuhong Zhang, 2012, BMC Bioinformatics.

Tao Zhang, Alok N. Choudhary, Huan Liu, 2014, 2014 IEEE International Conference on Data Mining.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2011, 2011 IEEE 11th International Conference on Data Mining Workshops.

Ankit Agrawal, Akashdeep Singh, Pratik Patil, 2018 .

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2017, 2017 IEEE 24th International Conference on High Performance Computing (HiPC).

Ankit Agrawal, Alok Choudhary, Surya R. Kalidindi, 2014 .

Ankit Agrawal, Debjani Ghosh, Navin Prakash, 2018, 2018 IEEE 20th International Conference on e-Health Networking, Applications and Services (Healthcom).

Wei-keng Liao, Alok N. Choudhary, Kunpeng Zhang, 2011, 2011 IEEE 11th International Conference on Data Mining Workshops.

Ankit Agrawal, Abhishek Raj Sachan, Arpit Kochar, 2015 .

Anil Kumar Verma, Ankit Agrawal, 2016 .

Ghanshyam Pilania, Ankit Agrawal, Andrew C. E. Reid, 2020, npj Computational Materials.

Wei-keng Liao, Ankit Agrawal, Alok Choudhary, 2014, Supercomput. Front. Innov..

Alok N. Choudhary, Ankit Agrawal, Songtao Guo, 2013, KDD.

Alok N. Choudhary, Kunpeng Zhang, Ankit Agrawal, 2013, SDM.

Ankit Agrawal, Kathy Lee, Alok Choudhary, 2013 .

Alok N. Choudhary, Ankit Agrawal, Zhengzhang Chen, 2015, 2015 IEEE First International Conference on Big Data Computing Service and Applications.

Ankit Agrawal, Vaibhav Rastogi, 2015, 2015 Eighth International Conference on Contemporary Computing (IC3).

Wei-keng Liao, Ankit Agrawal, Alok Choudhary, 2021, Journal of Healthcare Informatics Research.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2022, J. Parallel Distributed Comput..

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2011, 2011 IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

George C Schatz, Ankit Agrawal, Mark A Ratner, 2017, The journal of physical chemistry letters.

Wei-keng Liao, Ankit Agrawal, Alok Choudhary, 2016 .

Wei-keng Liao, Ankit Agrawal, Alok Choudhary, 2018, Scientific Reports.

Ankit Agrawal, Alok Choudhary, Al'ona Furmanchuk, 2016 .

Ankit Agrawal, Reda Al-Bahrani, Ankit Agrawal, 2013 .