Mohammad Sharifkhani

发表

Ata Khorami, Mohammad S. Eslampanah Sendi, Ali Nikoofard, 2015, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS).

Ata Khorami, Mohammad Sharifkhani, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ata Khorami, Mohammad Sharifkhani, M. Sharifkhani, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ata Khorami, Mohammad Sharifkhani, B. Yazdani, 2016 .

Mohammad Sharifkhani, Fatemeh Arab Asadi, 2015, 2015 23rd Iranian Conference on Electrical Engineering.

Mohammad Sharifkhani, Javad Bagherzadeh, Mohammad Sadegh Alizadeh, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mohammad Sharifkhani, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Omid Shoaei, Mohammad Sharifkhani, I. Golampoor, 2002, IEEE 2002 International Conference on Communications, Circuits and Systems and West Sino Expositions.

Ata Khorami, Mohammad Sharifkhani, M. Sharifkhani, 2016 .

Mohammad Sharifkhani, Manoj Sachdev, Shah M. Jahinuzzaman, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Mohammad Sharifkhani, Ali Fotowat-Ahmady, Ali Asghar Razavi Haeri, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mohammad Sharifkhani, Manoj Sachdev, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Mohammad Sharifkhani, Mohsen Hassanpourghadi, 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC).

Ata Khorami, Mohammad Sharifkhani, 2017, Microelectron. J..

Mohammad Sharifkhani, Seyed Mojtaba Atarodi, Mohammad Chahardori, 2011, Microelectron. J..

Ata Khorami, Mohammad Sharifkhani, Seyed Behnam Yazdani, 2015, 2015 23rd Iranian Conference on Electrical Engineering.

Mohammad Sharifkhani, Mahdi Shabany, Hani Esmaeelzadeh, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Mohammad Sharifkhani, Khosrow Hajsadeghi, Roghayeh Saeidi, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mohammad Sharifkhani, Shah M. Jahinuzzaman, Hourieh Attarzadeh, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Mohammad Sharifkhani, Mohsen Hashemi, Mohammad Gholami, 2011, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Mohammad Sharifkhani, Iman Gholampour, Hamid R. Zarghi, 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.

Mohammad Sharifkhani, Khosrow Hajsadeghi, Roghayeh Saeidi, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Mohammad Sharifkhani, Seyed Behnam Yazdani, Mahdi Momeni, 2020 .

Ata Khorami, Mohammad Sharifkhani, Siavash Kananian, 2015, 2015 23rd Iranian Conference on Electrical Engineering.

Ata Khorami, Mohammad Sharifkhani, 2015 .

Mohammad Sharifkhani, Manoj Sachdev, Shah M. Jahinuzzaman, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mohammad Sharifkhani, Manoj Sachdev, Shah M. Jahinuzzaman, 2006, 2006 IEEE International Workshop on Memory Technology, Design, and Testing (MTDT'06).

Ata Khorami, Mohammad Sharifkhani, Roghayeh Saeidi, 2018 .

Mohammad Sharifkhani, Hourieh Attarzadeh, M. Sharifkhani, 2014, Microelectron. J..

Ata Khorami, Mohammad Sharifkhani, Manoj Sachdev, 2019, 2019 32nd IEEE International System-on-Chip Conference (SOCC).

Amir M. Sodagar, Mohammad S. Eslampanah Sendi, Mohammad Sharifkhani, 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.

Mohammad Sharifkhani, Mohsen Jalali, Narges Mousavi, 2016, IET Circuits Devices Syst..

Ata Khorami, Mohammad Sharifkhani, 2016, 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS).

Mohammad Sharifkhani, Manoj Sachdev, Shah M. Jahinuzzaman, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ata Khorami, Mohammad Sharifkhani, Manoj Sachdev, 2019, Integr..

Mohammad Sharifkhani, Manoj Sachdev, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Amir M. Sodagar, Mohammad S. Eslampanah Sendi, Mohammad Sharifkhani, 2015, 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS).

Mohammad Sharifkhani, Mohsen Hassanpourghadi, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mohammad Gholami, Mohammad Sharifkhani, Saeed Saeedi, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Ata Khorami, Mohammad Sharifkhani, Roghayeh Saeidi, 2019, 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS).

Mohammad Sharifkhani, Shamin Sadrafshari, Vala Sadrafshari, 2020, Integr..

Mohammad Gholami, Mohammad Sharifkhani, Mohsen Hashemi, 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.

Mohammad Sharifkhani, Milad Bahadori, Mojtaba Atarodi, 2010, Proceedings of the 8th IEEE International NEWCAS Conference 2010.

Mohammad Sharifkhani, Khosrow Hajsadeghi, Roghayeh Saeidi, 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mohammad Sharifkhani, Mohammadsadegh Alizadeh, 2020, IEEE Transactions on Circuits and Systems for Video Technology.

Amir M. Sodagar, Mohammad S. Eslampanah Sendi, Mohammad Sharifkhani, 2014, 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings.

Mohammad Sharifkhani, Manoj Sachdev, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mohammad Sharifkhani, Ali Fotowat-Ahmady, Seyed Mohammadreza Fatemi, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mohammad Sharifkhani, Mohammad Chahardori, Sirus Sadughi, 2013, East-West Design & Test Symposium (EWDTS 2013).

Ali Sadr, Nasser Masoumi, Mohammad Sharifkhani, 2015, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS).

Ata Khorami, Mohammad Sharifkhani, Seyed Behnam Yazdani, 2016, 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS).

Mohammad Sharifkhani, Manoj Sachdev, Shah M. Jahinuzzaman, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Mohammad Sharifkhani, Mohsen Hashemi, Mohammad Gholami, 2011, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Mohammad Sharifkhani, M. Sharifkhani, 2006 .

Mohammad Sharifkhani, Mahdi Shabany, Reza Ghanaatian, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Mohammad Sharifkhani, Mohammad Chahardori, Sirus Sadughi, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mohammad Sharifkhani, Bahman Yousefzadeh, 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.

Ata Khorami, Mohammad Sharifkhani, 2017, 2017 29th International Conference on Microelectronics (ICM).

Amir M. Sodagar, Mohammad S. Eslampanah Sendi, Mohammad Sharifkhani, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Ata Khorami, Mohammad Sharifkhani, 2018, Int. J. Circuit Theory Appl..

Mohammad Sharifkhani, Bahman Yousefzadeh, Ali Hajian, 2013, 2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Ata Khorami, Mohammad Sharifkhani, 2016 .

Amir M. Sodagar, Ata Khorami, Mohammad S. Eslampanah Sendi, 2015, 2015 23rd Iranian Conference on Electrical Engineering.

Mohammad Sharifkhani, Mohsen Hassanpourghadi, Milad Zamani, 2014, Microelectron. J..

Ata Khorami, Mohammad Sharifkhani, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Mohammad Sharifkhani, Seyede Mahya Safavi, Mohsen Hassanpour Ghadi, 2013, 2013 21st Iranian Conference on Electrical Engineering (ICEE).

Amir M. Sodagar, Mohammad Sharifkhani, A. M. Sodagar, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mohammad Sharifkhani, Manoj Sachdev, 2006, IEEE Custom Integrated Circuits Conference 2006.

Mohammad Sharifkhani, Sajjad Kachuee, 2022 .

Ata Khorami, Mohammad Sharifkhani, B. Yazdani, 2016 .

Mohammad Sharifkhani, Mohammad Sadegh Alizadeh, 2014, 2014 4th International Conference on Computer and Knowledge Engineering (ICCKE).