Emil Talpes

发表

Emil Talpes, Diana Marculescu, 2001, ISLPED '01.

Emil Talpes, Diana Marculescu, 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

Emil Talpes, Diana Marculescu, Diana Marculescu, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Emil Talpes, Diana Marculescu, 2005, IEEE Micro.

Emil Talpes, Diana Marculescu, Diana Marculescu, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Emil Talpes, Diana Marculescu, Diana Marculescu, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Emil Talpes, Diana Marculescu, Venkata Syam P. Rapaka, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Emil Talpes, Diana Marculescu, Diana Marculescu, 2006 .

Emil Talpes, Diana Marculescu, 2003, ISLPED '03.

Emil Talpes, Diana Marculescu, Venkata Syam P. Rapaka, 2004 .

Emil Talpes, Diana Marculescu, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Emil Talpes, Diana Marculescu, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Emil Talpes, Peter Joseph Bannon, Kevin Altair Hurd, 2019 .