Chung-Yang Huang

发表

Chih-Chun Lee, Chi-An Wu, Ting-Hao Lin, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Chun-Nan Chou, Chung-Yang Huang, Chen-Kai Chu, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chung-Yang Huang, Kuen-Huei Lin, Siao-Jie Cai, 2008, 2008 International SoC Design Conference.

Kuan-Lun Tseng, Winston H. Hsu, Yen-Liang Lin, 2017, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

Jie-Hong Roland Jiang, Alan Mishchenko, Chih-Chun Lee, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Shao-Lun Huang, Chang-Hong Hsu, Kai-Fu Tang, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Chung-Yang Huang, Ming-Jen Yang, Kuan Fan, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Chun-Nan Chou, Po-Kai Huang, Kai-Fu Tang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Chung-Yang Huang, Hu-Hsi Yeh, Cheng-Yin Wu, 2012, TACAS.

Chung-Yang Huang, Jing-Jia Nian, Shihgeng Tsai, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chung-Yang Huang, Chih-Jen Hsu, Yu-Fan Yin, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Chun-Nan Chou, Chang-Hong Hsu, Chung-Yang Huang, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chun-Ju Yang, Bo-Han Wu, Chung-Yang Huang, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kwang-Ting Cheng, Ganapathy Parthasarathy, Chung-Yang Huang, 2001, Sixth IEEE International High-Level Design Validation and Test Workshop.

Chung-Yang Huang, Kuen-Huei Lin, Siao-Jie Cai, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Jie-Hong Roland Jiang, Alan Mishchenko, Chih-Chun Lee, 2010, IEEE Transactions on Computers.

Ting-Hao Lin, Chung-Yang Huang, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chung-Yang Huang, Cheng-Yin Wu, Chien-Yu Lai, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chung-Yang Huang, Hu-Hsi Yeh, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yucheng Wang, Kwang-Ting Cheng, Chung-Yang Huang, 1998, ISPD '98.

Chung-Yang Huang, Shihheng Tsai, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Chung-Yang Huang, Kuen-Huei Lin, Yuan-Lung Li, 2009, 2009 International Conference on Communications, Circuits and Systems.

Chun-Ju Yang, Bo-Han Wu, Chung-Yang Huang, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shi-Yu Huang, Kwang-Ting Cheng, Forrest Brewer, 2000, IEEE Trans. Computers.

Chung-Yang Huang, Hsin-Cheng Lin, Yu-Fu Yeh, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jie-Hong Roland Jiang, Chun-Ju Yang, Bo-Han Wu, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chi-An Wu, Chung-Yang Huang, Cheng-Yin Wu, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Yang Huang, Shihheng Tsai, Man-Yu Li, 2012, 17th Asia and South Pacific Design Automation Conference.

Bo-Han Wu, Chung-Yang Huang, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Po-Kai Huang, Kai-Fu Tang, Chi-An Wu, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Shao-Lun Huang, Chi-An Wu, Chung-Yang Huang, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Chi-An Wu, Chung-Yang Huang, Hsin-Cheng Lin, 2011, 2011 Design, Automation & Test in Europe.

Chun-Nan Chou, Chiao Hsieh, Chung-Yang Huang, 2012, DAC Design Automation Conference 2012.

Chung-Yang Huang, Hu-Hsi Yeh, Cheng-Yin Wu, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kei-Yong Khoo, Chung-Yang Huang, Chao-Yue Lai, 2008, 2008 Design, Automation and Test in Europe.

Bo-Han Wu, Chung-Yang Huang, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Kwang-Ting Cheng, Chung-Yang Huang, K. Cheng, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Huan-Chih Tsai, Bwolen Yang, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Ting-Hao Lin, Chung-Yang Huang, Hsing-Chih Hung, 2007, SoCC.