Prashant J. Nair

发表

Moinuddin K. Qureshi, Zachary A. Myers, Swamit S. Tannu, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Moinuddin K. Qureshi, Prashant J. Nair, Vinson Young, 2015, ASPLOS.

Onur Mutlu, Samira Manabi Khan, Dae-Hyun Kim, 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

Gururaj Saileshwar, Moinuddin K. Qureshi, Prashant J. Nair, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Moinuddin K. Qureshi, Chia-Chen Chou, Prashant J. Nair, 2014, TACO.

Moinuddin K. Qureshi, Vilas Sridharan, Prashant J. Nair, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Moinuddin K. Qureshi, Chia-Chen Chou, Bipin Rajendran, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Alper Buyuktosunoglu, Michael B. Healy, Prashant J. Nair, 2019, MICRO.

Moinuddin K. Qureshi, Chia-Chen Chou, Prashant J. Nair, 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

Bahar Asgari, Moinuddin K. Qureshi, Prashant J. Nair, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Moinuddin K. Qureshi, Chia-Chen Chou, Prashant J. Nair, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Jose Joao, Gururaj Saileshwar, Moinuddin K. Qureshi, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Moinuddin K. Qureshi, Prashant J. Nair, David A. Roberts, 2016, ACM Trans. Archit. Code Optim..

Moinuddin K. Qureshi, Prashant J. Nair, David A. Roberts, 2016, Encyclopedic Dictionary of Archaeology.

Dae-Hyun Kim, Moinuddin K. Qureshi, Prashant J. Nair, 2013, ISCA.

Moinuddin K. Qureshi, Prashant J. Nair, David A. Roberts, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Dae-Hyun Kim, Moinuddin K. Qureshi, Prashant J. Nair, 2015, IEEE Computer Architecture Letters.

Prashant J. Nair, Seokin Hong, Beomjun Kim, 2020, 2020 IEEE 38th International Conference on Computer Design (ICCD).

Moinuddin K. Qureshi, Prashant J. Nair, Vinson Young, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Amit Karande, Ankit Bansal, Prashant J. Nair, 2009 .

Onur Mutlu, Saugata Ghose, Moinuddin K. Qureshi, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Moinuddin K. Qureshi, Poulami Das, Swamit S. Tannu, 2019, MICRO.

Divya Mahajan, Prashant J. Nair, Muhammad Adnan, 2021, ArXiv.

Prashant J. Nair, Muhammad Adnan, Yassaman Ebrahimzadeh Maboud, 2021, Proc. VLDB Endow..

Moinuddin K. Qureshi, Prashant J. Nair, Vinson Young, 2017 .

Prashant J. Nair, M. Qureshi, Vinson Young, 2015, ASPLOS.

Moinuddin K. Qureshi, Vilas Sridharan, Prashant J. Nair, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Swamit S. Tannu, Prashant J. Nair, 2022, ArXiv.

Swamit S. Tannu, Prashant J. Nair, Ruirui C. Huang, 2022, ArXiv.

Mieszko Lis, Sudip Shekhar, Prashant J. Nair, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Prashant J. Nair, Mieszko Lis, Dingqing Yang, 2023, ASPLOS.

Prashant J. Nair, Yassaman Ebrahimzadeh Maboud, Divyat Mahajan, 2022, ArXiv.

Moinuddin K. Qureshi, Prashant J. Nair, Gururaj Saileshwar, 2022, ISCA.

Moinuddin K. Qureshi, Prashant J. Nair, Gururaj Saileshwar, 2022, ASPLOS.

Prashant J. Nair, Gururaj Saileshwar, Jeonghyun Woo, 2022, 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Moinuddin K. Qureshi, Prashant J. Nair, Gururaj Saileshwar, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Moinuddin K. Qureshi, Prashant J. Nair, Y. Patt, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Amin Ghasemazar, Mieszko Lis, Prashant J. Nair, 2020, ASPLOS.

Amin Ghasemazar, Mieszko Lis, Prashant J. Nair, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Moinuddin K. Qureshi, Prashant J. Nair, D. Roberts, 2016, ACM Trans. Archit. Code Optim..

Alper Buyuktosunoglu, Bülent Abali, Michael B. Healy, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Prashant J. Nair, Divyat Mahajan, Irene Wang, 2023, ArXiv.

Prashant J. Nair, M. Wang, A. Li, 2023, Proceedings of the 2023 International Workshop on Quantum Classical Cooperative.

Prashant J. Nair, Yassaman Ebrahimzadeh Maboud, Divyat Mahajan, 2023, 2308.14902.

Swamit S. Tannu, Prashant J. Nair, 2022, ACM SIGEnergy Energy Informatics Review.

Prashant J. Nair, Divyat Mahajan, Irene Wang, 2023, Neural Information Processing Systems.

Prashant J. Nair, Yassaman Ebrahimzadeh Maboud, Divyat Mahajan, 2022, ArXiv.