S. Pal

发表

K. Gopalakrishnan, Swagath Venkataramani, V. Srinivasan, 2022 .

K. Gopalakrishnan, Swagath Venkataramani, V. Srinivasan, 2022, ACM Trans. Embed. Comput. Syst..

Pradip Bose, Aporva Amarnath, Hubertus Franke, 2021, IEEE Computer Architecture Letters.

Trevor N. Mudge, Siying Feng, Austin Rovinski, 2020, ICS.

Ronald G. Dreslinski, Chaitali Chakrabarti, Michael F. P. O'Boyle, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

David Blaauw, Ronald G. Dreslinski, Chaitali Chakrabarti, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

R. Dreslinski, H. Franke, A. Buyuktosunoglu, 2022, ArXiv.

Ronald G. Dreslinski, Michael F. P. O'Boyle, Aporva Amarnath, 2021, MICRO.

Ronald G. Dreslinski, Siying Feng, Austin Rovinski, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Aporva Amarnath, Ronald Dreslinski, Hubertus Franke, 2020, ArXiv.

R. Dreslinski, D. Blaauw, C. Chakrabarti, 2020, Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques.

Trevor Mudge, Ronald G. Dreslinski, Murray Cole, 2020, 2020 IEEE International Symposium on Workload Characterization (IISWC).

Ronald G. Dreslinski, Siying Feng, Yichen Yang, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).