Daniel Ziener

发表

Jürgen Teich, Daniel Ziener, Christopher Dennl, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Jürgen Teich, Daniel Ziener, J. Teich, 2009, Int. J. Auton. Adapt. Commun. Syst..

Daniel Ziener, Thorbjörn Posewsky, Daniel Ziener, 2018, Microprocess. Microsystems.

Daniel Ziener, Thorbjörn Posewsky, Daniel Ziener, 2018, ARCS.

Daniel Ziener, Thorbjörn Posewsky, Daniel Ziener, 2016, 2016 International Conference on ReConFigurable Computing and FPGAs (ReConFig).

Daniel Ziener, Nikolaos S. Alachiotis, Nikolaos Alachiotis, 2021, 2021 31st International Conference on Field-Programmable Logic and Applications (FPL).

Jürgen Teich, Moritz Mühlenthaler, Daniel Ziener, 2011, 2011 International Conference on Field-Programmable Technology.

Jürgen Teich, Daniel Ziener, J. Teich, 2008, J. Signal Process. Syst..

Dirk Koch, Daniel Ziener, Frank Hannig, 2016 .

Dirk Koch, Daniel Ziener, Frank Hannig, 2016, FPGAs for Software Programmers.

Jürgen Teich, Daniel Ziener, Stefan Assmus, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Jürgen Teich, Daniel Ziener, Bernhard Schmidt, 2014, 2014 IEEE International Parallel & Distributed Processing Symposium Workshops.

Jürgen Teich, Andreas Becher, Daniel Ziener, 2016, ACM Trans. Reconfigurable Technol. Syst..

Jürgen Teich, Daniel Ziener, Jutta Pirkl, 2018, 2018 International Conference on ReConFigurable Computing and FPGAs (ReConFig).

Daniel Ziener, Nikolaos S. Alachiotis, Nikolaos Alachiotis, 2021, Journal of Low Power Electronics and Applications.

Jürgen Teich, Walter Stechele, Jim Tørresen, 2012, ARCS 2012.

Jürgen Teich, Stefan Wildermann, Andreas Becher, 2016, 2016 International Conference on Field-Programmable Technology (FPT).

Jürgen Teich, Andreas Becher, Daniel Ziener, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Jürgen Teich, Stefan Wildermann, Daniel Ziener, 2013, Des. Autom. Embed. Syst..

Jürgen Teich, Daniel Ziener, Christopher Dennl, 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.

Daniel Ziener, Thorbjörn Posewsky, 2018, Microprocess. Microsystems.