Li-Shiuan Peh
发表
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2016,
IEEE Computer Architecture Letters.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
CHANTS '06.
Chen Sun,
James C. Hoe,
Vladimir Stojanovic,
2015,
2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
Tushar Krishna,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Li-Shiuan Peh,
Partha Kundu,
2007,
IEEE Micro.
Sharad Malik,
Li-Shiuan Peh,
Hangsheng Wang,
2003,
Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
Tulika Mitra,
Li-Shiuan Peh,
Manupa Karunaratne,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Li-Shiuan Peh,
Seth N. Hetu,
Vahid Saber Hamishagi,
2014,
2014 IEEE 80th Vehicular Technology Conference (VTC2014-Fall).
Sriram R. Vangal,
Li-Shiuan Peh,
Stephen W. Keckler,
2017
.
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
Tushar Krishna,
2013,
2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
Radu Marculescu,
Li-Shiuan Peh,
Yatin Vasant Hoskote,
2008,
IEEE Des. Test Comput..
Li-Shiuan Peh,
Vassos Soteriou,
2003,
11th Symposium on High Performance Interconnects, 2003. Proceedings..
Mun Choon Chan,
Padmanabha Venkatagiri Seshadri,
Akkihebbal L. Ananda,
2012,
SenSys '12.
Uming Ko,
Anantha Chandrakasan,
Li-Shiuan Peh,
2016,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sharad Malik,
David I. August,
Li-Shiuan Peh,
2004,
18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..
Tulika Mitra,
Li-Shiuan Peh,
Cheng Tan,
2018,
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).
Margaret Martonosi,
Qiang Wu,
Li-Shiuan Peh,
2005,
IEEE Micro.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
14th IEEE International Symposium on Modeling, Analysis, and Simulation.
Bill Lin,
Li-Shiuan Peh,
Vassos Soteriou,
2009,
IEEE Computer Architecture Letters.
Li-Shiuan Peh,
Christopher Ting Hian Ann,
L. Peh,
1996,
ArXiv.
Niraj K. Jha,
Li-Shiuan Peh,
Niket Agarwal,
2009,
2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Li-Shiuan Peh,
Vassos Soteriou,
Hangsheng Wang,
2006,
14th IEEE International Symposium on Modeling, Analysis, and Simulation.
Li Shang,
Niraj K. Jha,
Amit Kumar,
2004,
37th International Symposium on Microarchitecture (MICRO-37'04).
Gu-Yeon Wei,
Paul R. Prucnal,
Li-Shiuan Peh,
2005,
11th International Symposium on High-Performance Computer Architecture.
Li-Shiuan Peh,
Chirn Chye Boon,
Suhaib A. Fahmy,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Margaret Martonosi,
Pei Zhang,
Li-Shiuan Peh,
2008,
Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences.
Li-Shiuan Peh,
Patrick Chiang,
Tushar Krishna,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Li-Shiuan Peh,
Sriram Vangal,
Stephen W. Keckler,
2009,
Multicore Processors and Systems.
Li-Shiuan Peh,
Vassos Soteriou,
L. Peh,
2004,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Natalie D. Enright Jerger,
Li-Shiuan Peh,
N. E. Jerger,
2009,
On-Chip Networks.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Bing Wang,
Li Zhang,
Li-Shiuan Peh,
2014,
IEEE Design & Test.
Sharad Malik,
Kurt Keutzer,
David I. August,
2002,
EMSOFT.
Li-Shiuan Peh,
Vassos Soteriou,
2006
.
Li-Shiuan Peh,
Jason Gao,
2014
.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2014,
2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
Sharad Malik,
David I. August,
Li-Shiuan Peh,
2004,
IPDPS Next Generation Software Program - NSFNGS - PI Workshop.
Bill Lin,
Li-Shiuan Peh,
Vassos Soteriou,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
William J. Dally,
Li-Shiuan Peh,
2001,
IEEE Micro.
William J. Dally,
John D. Owens,
Ron Ho,
2007,
IEEE Micro.
Sharad Malik,
Li-Shiuan Peh,
Hangsheng Wang,
2005,
Design, Automation and Test in Europe.
Li-Shiuan Peh,
Vassos Soteriou,
2007,
IEEE Transactions on Parallel and Distributed Systems.
Li-Shiuan Peh,
Chien-Chun Chou,
Konstantinos Aisopos,
2008,
CODES+ISSS '08.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2007,
MOCO.
Natalie D. Enright Jerger,
Bruce Jacob,
Li-Shiuan Peh,
2009
.
Li Shang,
Niraj K. Jha,
Amit Kumar,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Tulika Mitra,
Li-Shiuan Peh,
Cheng Tan,
2018,
DAC.
Cong Wang,
Li Zhang,
Bing Wang,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Li-Shiuan Peh,
Huayong Wang,
2014,
2014 IEEE 28th International Parallel and Distributed Processing Symposium.
William J. Dally,
Li-Shiuan Peh,
W. Dally,
2000,
Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).
Natalie D. Enright Jerger,
Mikko H. Lipasti,
Li-Shiuan Peh,
2008,
2008 International Symposium on Computer Architecture.
Anantha Chandrakasan,
Li-Shiuan Peh,
Sunghyun Park,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Li-Shiuan Peh,
Anirudh Sivaraman,
Niket Agarwal,
2012,
2012 IEEE 30th International Conference on Computer Design (ICCD).
Bo Wang,
Chen Yuan,
Li-Shiuan Peh,
2019,
MobiSys.
Gu-Yeon Wei,
Li-Shiuan Peh,
Xuning Chen,
2008,
Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
Li-Shiuan Peh,
Jason H. Gao,
2016,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Niraj K. Jha,
Li-Shiuan Peh,
Niket Agarwal,
2009,
2009 IEEE 15th International Symposium on High Performance Computer Architecture.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2014,
IEEE Hot Chips Symposium.
Mikko H. Lipasti,
Li-Shiuan Peh,
N. D. Enright Jerger,
2008
.
Li-Shiuan Peh,
Jason H. Gao,
2016,
2016 IEEE International Conference on Robotics and Automation (ICRA).
Li-Shiuan Peh,
Vassos Soteriou,
Noel Eisley,
2007,
ACM Trans. Archit. Code Optim..
Sharad Malik,
Li-Shiuan Peh,
Xinping Zhu,
2002,
35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
REALMAN '06.
Li-Shiuan Peh,
Li Zhao,
Seung Eun Lee,
2011,
J. Parallel Distributed Comput..
Chen Sun,
George Kurian,
Vladimir Stojanovic,
2012,
2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
Priyadarsan Patra,
Li-Shiuan Peh,
Bin Li,
2008,
Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
Li Shang,
Li-Shiuan Peh,
Noel Eisley,
2006,
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
Li-Shiuan Peh,
Kenneth Eng Kian Lee,
Viet Phuong Bui,
2016,
Optics express.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2012,
DAC Design Automation Conference 2012.
Margaret Martonosi,
Li-Shiuan Peh,
Emmanouil Koukoumidis,
2012,
IEEE Transactions on Mobile Computing.
Li-Shiuan Peh,
Patrick Chiang,
Tushar Krishna,
2010,
2010 IEEE International Conference on Computer Design.
Li-Shiuan Peh,
Tushar Krishna,
L. Peh,
2014,
2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
Li-Shiuan Peh,
Tushar Krishna,
Bradford M. Beckmann,
2011
.
Anantha Chandrakasan,
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
2013,
Computer.
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2007,
ICCD.
Li-Shiuan Peh,
Timothy Mark Pinkston,
2005,
IEEE Trans. Parallel Distributed Syst..
William J. Dally,
Li-Shiuan Peh,
W. Dally,
2001,
Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2003,
The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
Bill Lin,
Li-Shiuan Peh,
Vassos Soteriou,
2010,
2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
Anantha Chandrakasan,
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Mun Choon Chan,
Akkihebbal L. Ananda,
Li-Shiuan Peh,
2014,
CHANTS '14.
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2007,
ISCA '07.
Mikko H. Lipasti,
Li-Shiuan Peh,
Natalie Enright Jerger,
2007,
IEEE Comput. Archit. Lett..
Li-Shiuan Peh,
Valeria Bertacco,
Andrew DeOrio,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Vanchinathan Venkataramani,
Tulika Mitra,
Li-Shiuan Peh,
2016,
2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).
Mun Choon Chan,
Li-Shiuan Peh,
Huayong Wang,
2012,
2012 IEEE 26th International Parallel and Distributed Processing Symposium.
Li Shang,
Niraj K. Jha,
Amit Kumar,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Li-Shiuan Peh,
Konstantinos Aisopos,
L. Peh,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Natalie D. Enright Jerger,
Mikko H. Lipasti,
Li-Shiuan Peh,
2007,
IEEE Computer Architecture Letters.
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
Chen Sun,
George Kurian,
Vladimir Stojanovic,
2012,
2012 IEEE 26th International Parallel and Distributed Processing Symposium.
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2008,
2008 IEEE International Symposium on Parallel and Distributed Processing.
Margaret Martonosi,
Li-Shiuan Peh,
Ulrich Kremer,
2010,
2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
Li Shang,
Li-Shiuan Peh,
Noel Eisley,
2008,
2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
Avinoam Kolodny,
Li-Shiuan Peh,
2009,
IEEE Trans. Very Large Scale Integr. Syst..
Sharad Malik,
Li-Shiuan Peh,
Hangsheng Wang,
2003,
IEEE Micro.
Bo Wang,
Chen Yuan,
Li-Shiuan Peh,
2019,
MobiSys.
Mun Choon Chan,
Li-Shiuan Peh,
Pravein Govindan Kannan,
2008
.
Li-Shiuan Peh,
Vassos Soteriou,
Noel Eisley,
2005,
CASES '05.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Anantha P. Chandrakasan,
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
2013
.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Vanchinathan Venkataramani,
Tulika Mitra,
Li-Shiuan Peh,
2019,
LCTES.
Andrew B. Kahng,
Li-Shiuan Peh,
Kambiz Samadi,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Mun Choon Chan,
Akkihebbal L. Ananda,
Li-Shiuan Peh,
2014,
SenSys.
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
Tushar Krishna,
2014,
IEEE Micro.
Tulika Mitra,
Li-Shiuan Peh,
Manupa Karunaratne,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Natalie D. Enright Jerger,
Li-Shiuan Peh,
Tushar Krishna,
2017,
Synthesis Lectures on Computer Architecture.
Li-Shiuan Peh,
Woo-Cheol Kwon,
L. Peh,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2004,
MOCO.
Sharad Malik,
Li-Shiuan Peh,
Hangsheng Wang,
2002,
Proceedings 10th Symposium on High Performance Interconnects.
Li-Shiuan Peh,
Noel Eisley,
L. Peh,
2004,
CASES '04.
Li-Shiuan Peh,
Tushar Krishna,
Woo-Cheol Kwon,
2014,
ASPLOS.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2002,
IEEE Computer Architecture Letters.
Andrew B. Kahng,
Li-Shiuan Peh,
Kambiz Samadi,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Li-Shiuan Peh,
Vassos Soteriou,
Noel Eisley,
2006,
CASES '06.
Yong Wang,
Margaret Martonosi,
Daniel Rubenstein,
2002,
ASPLOS X.
Natalie D. Enright Jerger,
Radu Marculescu,
Ümit Y. Ogras,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Li-Shiuan Peh,
Valeria Bertacco,
Andrew DeOrio,
2011,
2011 International Conference on Parallel Architectures and Compilation Techniques.
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
Konstantinos Aisopos,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Li-Shiuan Peh,
Chirn Chye Boon,
Arya Balachandra,
2017,
2017 Opto-Electronics and Communications Conference (OECC) and Photonics Global Conference (PGC).
Margaret Martonosi,
Li-Shiuan Peh,
Emmanouil Koukoumidis,
2011,
2011 IEEE International Conference on Pervasive Computing and Communications (PerCom).
Li-Shiuan Peh,
Li Zhao,
Ravi R. Iyer,
2012,
TACO.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2005,
SenSys '05.
Mun Choon Chan,
Akkihebbal L. Ananda,
Li-Shiuan Peh,
2012,
2012 12th International Conference on ITS Telecommunications.
Li-Shiuan Peh,
William J. Dally,
L. Peh,
2001
.
Li-Shiuan Peh,
Vassos Soteriou,
Hangsheng Wang,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Li-Shiuan Peh,
Tushar Krishna,
Bradford M. Beckmann,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Qiang Wu,
Li-Shiuan Peh,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Li-Shiuan Peh,
L. Peh,
1997,
VLC.
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
Tushar Krishna,
2010,
2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
Sharad Malik,
Li-Shiuan Peh,
Xinping Zhu,
2002,
MICRO.
Mun Choon Chan,
Akkihebbal L. Ananda,
Li-Shiuan Peh,
2016,
Comput. Commun..
Li-Shiuan Peh,
Vassos Soteriou,
Hangsheng Wang,
2006,
2006 International Conference on Computer Design.
Amit Kumar,
Li-Shiuan Peh,
Patrick Chiang,
2008,
2008 16th IEEE Symposium on High Performance Interconnects.
Margaret Martonosi,
Li-Shiuan Peh,
Julia Chen,
2005,
CARN.
Li-Shiuan Peh,
Noel Eisley,
Li Shang,
2006,
IEEE Comput. Archit. Lett..
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
2006 3rd Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2003,
ICS '03.
Niraj K. Jha,
Li-Shiuan Peh,
Tushar Krishna,
2009,
2009 IEEE International Symposium on Performance Analysis of Systems and Software.
Natalie D. Enright Jerger,
Mikko H. Lipasti,
Li-Shiuan Peh,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
Mun Choon Chan,
Li-Shiuan Peh,
Hande Hong,
2016,
2016 IEEE 24th International Conference on Network Protocols (ICNP).
Li-Shiuan Peh,
Xuning Chen,
2003,
ISLPED '03.
Sharad Malik,
Li-Shiuan Peh,
Hangsheng Wang,
2003,
MICRO.
Li-Shiuan Peh,
Trevor E. Carlson,
Yaswanth Tavva,
2021,
2021 15th IEEE/ACM International Symposium on Networks-on-Chip (NOCS).
Li-Shiuan Peh,
Ananta Narayanan Balaji,
2021,
CHI Extended Abstracts.
Li Zhang,
Li-Shiuan Peh,
Jurgen Michel,
2014,
2014 Asia Communications and Photonics Conference (ACP).
Radu Marculescu,
Umit Y. Ogras,
Li-Shiuan Peh,
2008
.
Li-Shiuan Peh,
L. Peh,
Xuning Chen,
2003,
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
Li-Shiuan Peh,
Sushmit Goswami,
Pilsoon Choi,
2014,
2014 IEEE International Electron Devices Meeting.
Niraj K. Jha,
Li-Shiuan Peh,
Niket Agarwal,
.