Jose Duato

发表

Holger Fröning, Sudhakar Yalamanchili, Federico Silla, 2010 .

Pedro Javier García, José L. Sánchez, Yonghui Xu, 2020, 2020 IEEE Symposium on High-Performance Interconnects (HOTI).

Luis Gonzalez-Naharro, Hewen Zheng, Jose Duato, 2019, 2019 International Conference on High Performance Computing & Simulation (HPCS).

Jose Duato, 2009, 2009 IEEE Hot Chips 21 Symposium (HCS).

Jose Duato, Gregorio Bernabe, Jose Gonzalez, 2002, Proceedings. 28th Euromicro Conference.

Jasmin Ajanovic, Jose Duato, Bob Safranek, 2009, 2009 IEEE Hot Chips 21 Symposium (HCS).

Marcello Coppola, Riccardo Locatelli, Jose Flich, 2011 .