Tai-Cheng Lee

发表

Tai-Cheng Lee, Cheng-Hsiao Lin, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hong-Sing Kao, Tai-Cheng Lee, Huei-Chi Wang, 2004 .

Tai-Cheng Lee, Yen-Chuan Huang, 2010, IEEE Journal of Solid-State Circuits.

Tai-Cheng Lee, Cheng-Jyun Li, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Po-Chun Huang, Tai-Cheng Lee, Wei-Sung Chang, 2014, IEEE Journal of Solid-State Circuits.

Tai-Cheng Lee, Hsien-Hsiang Chiu, Guan-Jun Chen, 2007, 2007 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Zuow-Zun Chen, Tai-Cheng Lee, 2011 .

Tai-Cheng Lee, Chin-Yu Lin, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Tai-Cheng Lee, Yen-Chuan Huang, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Tai-Cheng Lee, Jui-Cheng Hsiao, Dai-En Jhou, 2017, 2017 International SoC Design Conference (ISOCC).

Yu-Hong Yang, Tai-Cheng Lee, Wei-Sung Chang, 2017, 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Keng-Jan Hsiao, Tai-Cheng Lee, 2009, IEEE Journal of Solid-State Circuits.

Hua-Chin Lee, Keng-Jan Hsiao, Tai-Cheng Lee, 2005, 2005 IEEE Asian Solid-State Circuits Conference.

Tai-Cheng Lee, Wei-Sung Chang, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Ding-Lan Shen, Tai-Cheng Lee, Yuan-Chun Lai, 2007, 2007 IEEE Asian Solid-State Circuits Conference.

Tai-Cheng Lee, Li-Hung Chiueh, 2014, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Hong-Sing Kao, Tai-Cheng Lee, Huei-Chi Wang, 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits.

Yu-Lun Hsieh, Tai-Cheng Lee, 2019, 2019 IEEE 13th International Conference on ASIC (ASICON).

Chorng-Kuang Wang, Hung-Chieh Tsai, Tai-Cheng Lee, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Keng-Jan Hsiao, Tai-Cheng Lee, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Tai-Cheng Lee, Ying-Min Liao, 2006, 2006 International Symposium on VLSI Design, Automation and Test.

Tai-Cheng Lee, Wei-Sung Chang, Jia-An Jheng, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Chia-Chi Ho, Tai-Cheng Lee, 2012, Proceedings of Technical Program of 2012 VLSI Design, Automation and Test.

Tai-Cheng Lee, Yen-Chuan Huang, Li-Han Hung, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Chia-Lun Chang, Tai-Cheng Lee, 2016, 2016 2nd International Conference on Intelligent Green Building and Smart Grid (IGBSG).

Tai-Cheng Lee, Yen-Chuan Huang, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Venkatesh Srinivasan, Tai-Cheng Lee, Stephane Le Tuai, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Yu-Hsun Chen, Tai-Cheng Lee, 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits.

Ding-Lan Shen, Tai-Cheng Lee, 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

Keng-Jan Hsiao, Tai-Cheng Lee, 2007, 2007 IEEE Symposium on VLSI Circuits.

Behzad Razavi, Tai-Cheng Lee, 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

Zuow-Zun Chen, Tai-Cheng Lee, 2011, IEEE Transactions on Circuits and Systems II: Express Briefs.

B. Razavi, Tai-Cheng Lee, B. Razavi, 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

Ding-Lan Shen, Tai-Cheng Lee, 2007, IEEE Journal of Solid-State Circuits.

Shen-Iuan Liu, Kun-Hsien Li, Chia-Hsin Wu, 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

Hao-Chung Kuo, Chao-Hsin Wu, Wood-Hi Cheng, 2017, 2017 Optical Fiber Communications Conference and Exhibition (OFC).

Zuow-Zun Chen, Tai-Cheng Lee, Tai-Cheng Lee, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Tai-Cheng Lee, Yu-Cheng Hung, Kevin Fong, 2010, 2010 IEEE Asian Solid-State Circuits Conference.

Keng-Jan Hsiao, Tai-Cheng Lee, Ming-Hwa Lee, 2008, 2008 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Tai-Cheng Lee, Chin-Yu Lin, 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

Tai-Cheng Lee, Hsiang-Chun Cheng, Yu-Hong Yang, 2020, 2020 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Mohamad Sawan, Alyssa B. Apsel, Peng Li, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chia-Lun Chang, Tai-Cheng Lee, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Tai-Cheng Lee, Chen-Kai Hsu, 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Akira Matsuzawa, Asad A. Abidi, Boris Murmann, 2008 .

Tai-Cheng Lee, Chin-Yu Lin, Yen-Chuan Huang, 2011, 2011 IEEE International Symposium on Radio-Frequency Integration Technology.

Tai-Cheng Lee, Chin-Yu Lin, Yen-Hsin Wei, 2018, IEEE Journal of Solid-State Circuits.

Tai-Cheng Lee, Chin-Yu Lin, Yen-Hsin Wei, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Zuow-Zun Chen, Tai-Cheng Lee, Yu-Cheng Hung, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Tai-Cheng Lee, Ting-Yang Wang, 2015, VLSI Design, Automation and Test(VLSI-DAT).

Tai-Cheng Lee, Chin-Chi Chen, 2006, IEEE Trans. Circuits Syst. II Express Briefs.

Tai-Cheng Lee, Chin-Yu Lin, Yen-Hsin Wei, 2016, 2016 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT).

Tai-Cheng Lee, Chun-Ping Wang, 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Behzad Razavi, Tai-Cheng Lee, 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

Po-Chun Huang, Tai-Cheng Lee, Wei-Sung Chang, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Keng-Jan Hsiao, Tai-Cheng Lee, Yen-Chuang Huang, 2005, Conference, Emerging Information Technology 2005..

Tai-Cheng Lee, Hsiu-Hsien Ting, Tai-Cheng Lee, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Tai-Cheng Lee, Yen-Chuang Huang, 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..

Chien-Heng Wong, Tai-Cheng Lee, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Tai-Cheng Lee, Chin-Yu Lin, Yen-Chuan Huang, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Qui-Ting Chen, Tai-Cheng Lee, Yen-Chuan Huang, 2006, 2006 IEEE Asian Solid-State Circuits Conference.

Tai-Cheng Lee, Chin-Yu Lin, Yu-Hsuan Kang, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Qui-Ting Chen, Tai-Cheng Lee, Yen-Chuan Huang, 2008, 2008 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Tai-Cheng Lee, Chin-Yu Lin, Chun-Yu Chiang, 2010, IEEE Custom Integrated Circuits Conference 2010.

Tai-Cheng Lee, Li-Han Hung, 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

Keng-Jan Hsiao, Tai-Cheng Lee, 2008, IEEE Journal of Solid-State Circuits.

Keng-Jan Hsiao, Tai-Cheng Lee, 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..

Tai-Cheng Lee, Fen-Chiu Hsieh, 2008, 2008 IEEE Asian Solid-State Circuits Conference.

Tai-Cheng Lee, Chin-Yu Lin, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Shih-Chun Lin, Tai-Cheng Lee, 2008, 2008 IEEE Asian Solid-State Circuits Conference.

Venkatesh Srinivasan, Tai-Cheng Lee, 2016, ISSCC.

Tai-Cheng Lee, Wei-Sung Chang, Bo-Jing Lin, 2016, 2016 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Tai-Cheng Lee, Yen-Chuan Huang, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hong-Sing Kao, Tai-Cheng Lee, Ming-Jen Yang, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Chien-Heng Wong, Tai-Cheng Lee, Chin-Yu Lin, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wei-Liang Lee, Tai-Cheng Lee, 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

Ding-Lan Shen, Tai-Cheng Lee, 2005, 2005 IEEE International Symposium on Circuits and Systems.