Jai-Ming Lin

发表

Jai-Ming Lin, You-Lun Deng, Ya-Chu Yang, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jai-Ming Lin, Chien-Yu Huang, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jai-Ming Lin, Chung-Lin Lee, Richard C. Hsu, 2012, 17th Asia and South Pacific Design Automation Conference.

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jai-Ming Lin, Po-Yang Chiu, Yen-Fu Chang, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jai-Ming Lin, Zhi-Xiong Hung, Jai-Ming Lin, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jai-Ming Lin, Zhi-Xiong Hung, Jai-Ming Lin, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yao-Wen Chang, Jai-Ming Lin, Hsin-Lung Chen, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Jai-Ming Lin, Che-Chun Lin, Jai-Ming Lin, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jai-Ming Lin, Soon-Jyh Chang, Cheng-Wu Lin, 2012, ISPD '12.

Yao-Wen Chang, Jai-Ming Lin, D. F. Wong, 1998, ICCAD '98.

Jai-Ming Lin, Li-Yen Chang, Bo-Heng Yu, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yao-Wen Chang, Jai-Ming Lin, Yao-Wen Chang, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yao-Wen Chang, Jai-Ming Lin, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yao-Wen Chang, Jai-Ming Lin, Guang-Ming Wu, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Jai-Ming Lin, Yi-Ting Wang, Szu-Ting Li, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jai-Ming Lin, Chih-Yao Hu, Kai-Chung Chan, 2015, VLSI Design, Automation and Test(VLSI-DAT).

Jai-Ming Lin, Jia-Ru Chuang, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Jai-Ming Lin, Yeong-Jar Chang, Ya-Ting Shyu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kevin Huang, Jai-Ming Lin, Che-Chun Lin, 2014, ISPD '14.

Bin-Da Liu, Jai-Ming Lin, Chun-Yueh Huang, 2013, 2013 International Symposium on Next-Generation Electronics.

Yao-Wen Chang, Jai-Ming Lin, Guang-Ming Wu, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yao-Wen Chang, Jai-Ming Lin, Song-Ra Pan, 2003, ASP-DAC '03.

Yao-Wen Chang, Jai-Ming Lin, Hsin-Lung Chen, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Yao-Wen Chang, Jai-Ming Lin, Shih-Ping Lin, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Yao-Wen Chang, Jai-Ming Lin, Martin D. F. Wong, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jai-Ming Lin, You-Lun Deng, Bo-Heng Yu, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yao-Wen Chang, Jai-Ming Lin, Guang-Ming Wu, 2002, TODE.

Jai-Ming Lin, Soon-Jyh Chang, Chung-Lin Lee, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jai-Ming Lin, Chien-Yu Huang, Jhih-Ying Yang, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chung-Ho Chen, Kuen-Jong Lee, Jai-Ming Lin, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Jai-Ming Lin, I-Ru Chen, Jhih-Sheng Syu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jai-Ming Lin, Jung-An Yang, Jai-Ming Lin, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jai-Ming Lin, Ji-Heng Wu, Jai-Ming Lin, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yao-Wen Chang, Jai-Ming Lin, Martin D. F. Wong, 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

Yao-Wen Chang, Jai-Ming Lin, Yao-Wen Chang, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yao-Wen Chang, Jen-Hui Chuang, Jai-Ming Lin, 2005, ASP-DAC.

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2010, Design Automation Conference.

Jai-Ming Lin, Soon-Jyh Chang, Chun-Po Huang, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yao-Wen Chang, Jai-Ming Lin, Guang-Ming Wu, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Jai-Ming Lin, Yi-Ting Wang, Wei-Fan Huang, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Jai-Ming Lin, Yang-Tai Kung, Zheng-Yu Huang, 2021, ISPD.

Jai-Ming Lin, Yeong-Jar Chang, Ya-Ting Shyu, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jai-Ming Lin, Chung-Wei Huang, Liang-Chi Zane, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Jai-Ming Lin, Yeong-Jar Chang, Ya-Ting Shyu, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jai-Ming Lin, You-Lun Deng, Ya-Chu Yang, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yao-Wen Chang, Jen-Hui Chuang, Jai-Ming Lin, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Yao-Wen Chang, Jai-Ming Lin, Yao-Wen Chang, 2002 .

Yao-Wen Chang, Jai-Ming Lin, Yao-Wen Chang, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).